Index of /alpine/edge/testing/armhf/


../
3proxy-0.9.4-r2.apk                                04-Apr-2025 05:41              327140
3proxy-doc-0.9.4-r2.apk                            04-Apr-2025 05:41               25276
3proxy-openrc-0.9.4-r2.apk                         04-Apr-2025 05:41                1674
66-0.8.2.0-r0.apk                                  01-Jun-2025 01:39              344660
66-dbg-0.8.2.0-r0.apk                              01-Jun-2025 01:39              773052
66-dev-0.8.2.0-r0.apk                              01-Jun-2025 01:39             1678241
66-doc-0.8.2.0-r0.apk                              01-Jun-2025 01:39              194724
66-init-0.8.2.0-r0.apk                             01-Jun-2025 01:39                1575
66-tools-0.1.2.0-r0.apk                            01-Jun-2025 01:39               62573
66-tools-dbg-0.1.2.0-r0.apk                        01-Jun-2025 01:39              112260
66-tools-dev-0.1.2.0-r0.apk                        01-Jun-2025 01:39                2053
66-tools-doc-0.1.2.0-r0.apk                        01-Jun-2025 01:39               40311
66-tools-nsrules-0.1.2.0-r0.apk                    01-Jun-2025 01:39                2922
APKINDEX.tar.gz                                    02-Jun-2025 19:29              892731
a2jmidid-9-r3.apk                                  25-Oct-2024 20:05               27386
a2jmidid-doc-9-r3.apk                              25-Oct-2024 20:05                4287
abc-0_git20240102-r0.apk                           25-Oct-2024 20:05             5050844
abnfgen-0.21-r0.apk                                27-May-2025 21:40               17107
abnfgen-doc-0.21-r0.apk                            27-May-2025 21:40                5193
ace-of-penguins-1.4-r3.apk                         25-Oct-2024 20:05              158777
ace-of-penguins-doc-1.4-r3.apk                     25-Oct-2024 20:05               49751
acmetool-0.2.2-r13.apk                             14-May-2025 21:13             4654026
acmetool-doc-0.2.2-r13.apk                         14-May-2025 21:13               47792
adguardhome-0.107.61-r1.apk                        14-May-2025 21:13            10939314
adguardhome-openrc-0.107.61-r1.apk                 14-May-2025 21:13                2248
adjtimex-1.29-r0.apk                               25-Oct-2024 20:05               20834
adjtimex-doc-1.29-r0.apk                           25-Oct-2024 20:05                7259
admesh-0.98.5-r0.apk                               25-Oct-2024 20:05               25821
admesh-dev-0.98.5-r0.apk                           25-Oct-2024 20:05                4141
admesh-doc-0.98.5-r0.apk                           25-Oct-2024 20:05               23993
advancescan-1.18-r1.apk                            25-Oct-2024 20:05              245681
advancescan-doc-1.18-r1.apk                        25-Oct-2024 20:05                7440
afetch-2.2.0-r1.apk                                25-Oct-2024 20:05                9654
afetch-doc-2.2.0-r1.apk                            25-Oct-2024 20:05               13904
afew-3.0.1-r0.apk                                  18-May-2025 22:29               74891
afew-doc-3.0.1-r0.apk                              18-May-2025 22:29               12694
agate-3.3.8-r0.apk                                 25-Oct-2024 20:05              776232
agate-openrc-3.3.8-r0.apk                          25-Oct-2024 20:05                2034
agrep-0.8.0-r2.apk                                 25-Oct-2024 20:05                8547
agrep-doc-0.8.0-r2.apk                             25-Oct-2024 20:05                4210
aide-0.18.8-r0.apk                                 25-Oct-2024 20:05               80973
aide-doc-0.18.8-r0.apk                             25-Oct-2024 20:05               14111
alarmwakeup-0.2.1-r0.apk                           25-Oct-2024 20:05                6697
alarmwakeup-dbg-0.2.1-r0.apk                       25-Oct-2024 20:05               18890
alarmwakeup-dev-0.2.1-r0.apk                       25-Oct-2024 20:05                2593
alarmwakeup-libs-0.2.1-r0.apk                      25-Oct-2024 20:05                4100
alarmwakeup-utils-0.2.1-r0.apk                     25-Oct-2024 20:05                3933
alpine-lift-0.2.0-r23.apk                          14-May-2025 21:13             3763006
alps-0_git20230807-r12.apk                         14-May-2025 21:13             5708505
alps-openrc-0_git20230807-r12.apk                  14-May-2025 21:13                2069
alttab-1.7.1-r0.apk                                25-Oct-2024 20:05               38316
alttab-doc-1.7.1-r0.apk                            25-Oct-2024 20:05               10551
amber-0.3.3-r0.apk                                 25-Oct-2024 20:05              423933
amdgpu-fan-0.1.0-r5.apk                            25-Oct-2024 20:05               14349
amdgpu-fan-pyc-0.1.0-r5.apk                        25-Oct-2024 20:05                9847
amiitool-2-r2.apk                                  25-Oct-2024 20:05                6833
ampy-1.1.0-r6.apk                                  19-Mar-2025 11:44               15780
ampy-doc-1.1.0-r6.apk                              19-Mar-2025 11:44                4192
ampy-pyc-1.1.0-r6.apk                              19-Mar-2025 11:44               19916
amule-2.3.3-r13.apk                                25-Oct-2024 20:05             4247313
amule-doc-2.3.3-r13.apk                            25-Oct-2024 20:05              288199
amule-lang-2.3.3-r13.apk                           25-Oct-2024 20:05             1644043
anarch-1.0-r1.apk                                  25-Oct-2024 20:05               97665
anarch-doc-1.0-r1.apk                              25-Oct-2024 20:05               18410
anari-sdk-0.7.2-r0.apk                             25-Oct-2024 20:05              291666
anari-sdk-dev-0.7.2-r0.apk                         25-Oct-2024 20:05               59977
anari-sdk-static-0.7.2-r0.apk                      25-Oct-2024 20:05              207301
android-apkeep-0.17.0-r0.apk                       25-Oct-2024 20:05             2021816
android-file-transfer-4.3-r1.apk                   26-Jan-2025 20:17              183351
android-file-transfer-cli-4.3-r1.apk               26-Jan-2025 20:17              106162
android-file-transfer-dev-4.3-r1.apk               26-Jan-2025 20:17                1556
android-file-transfer-libs-4.3-r1.apk              26-Jan-2025 20:17              124728
angband-4.2.5-r0.apk                               25-Oct-2024 20:05            23732631
ansible-bender-0.10.1-r2.apk                       25-Oct-2024 20:05               37168
ansible-bender-doc-0.10.1-r2.apk                   25-Oct-2024 20:05               10335
ansible-bender-pyc-0.10.1-r2.apk                   25-Oct-2024 20:05               66571
ansiweather-1.19.0-r1.apk                          25-Oct-2024 20:05                4809
ansiweather-doc-1.19.0-r1.apk                      25-Oct-2024 20:05                3074
antibody-6.1.1-r28.apk                             14-May-2025 21:13             1828047
antimicrox-3.4.0-r0.apk                            25-Oct-2024 20:05             1682942
antimicrox-doc-3.4.0-r0.apk                        25-Oct-2024 20:05               23630
anubis-1.19.1-r0.apk                               02-Jun-2025 10:37             5877809
anubis-doc-1.19.1-r0.apk                           02-Jun-2025 10:37                2315
anubis-openrc-1.19.1-r0.apk                        02-Jun-2025 10:37                1893
aoetools-37-r2.apk                                 14-Jan-2025 08:23               20278
aoetools-doc-37-r2.apk                             14-Jan-2025 08:23               14084
apache-mod-auth-gssapi-1.6.5-r1.apk                25-Oct-2024 20:05               57574
apache-mod-auth-openidc-2.4.16.11-r1.apk           22-May-2025 06:53              233048
apache-mod-auth-openidc-doc-2.4.16.11-r1.apk       22-May-2025 06:53                4552
apache-mod-auth-openidc-static-2.4.16.11-r1.apk    22-May-2025 06:53              299578
apache2-mod-authnz-external-3.3.3-r0.apk           25-Oct-2024 20:05                7376
apache2-mod-authnz-external-doc-3.3.3-r0.apk       25-Oct-2024 20:05               10289
apache2-mod-maxminddb-1.2.0-r0.apk                 19-May-2025 10:23               10905
apache2-mod-perl-2.0.13-r1.apk                     25-Oct-2024 20:05              661208
apache2-mod-perl-dbg-2.0.13-r1.apk                 25-Oct-2024 20:05               78587
apache2-mod-perl-dev-2.0.13-r1.apk                 25-Oct-2024 20:05               40732
apache2-mod-perl-doc-2.0.13-r1.apk                 25-Oct-2024 20:05              310021
apache2-mod-realdoc-1-r1.apk                       25-Oct-2024 20:05                4791
apk-autoupdate-0_git20210421-r1.apk                19-Nov-2024 22:34               13330
apk-autoupdate-doc-0_git20210421-r1.apk            19-Nov-2024 22:34                7121
apk-snap-3.1.1-r0.apk                              25-Oct-2024 20:05                6784
apk-snap-doc-3.1.1-r0.apk                          25-Oct-2024 20:05               20404
apk-tools3-3.0.0_rc4_git20250421-r0.apk            14-May-2025 21:13               53885
apk-tools3-dbg-3.0.0_rc4_git20250421-r0.apk        14-May-2025 21:13              685246
apk-tools3-dev-3.0.0_rc4_git20250421-r0.apk        14-May-2025 21:13               24720
apk-tools3-doc-3.0.0_rc4_git20250421-r0.apk        14-May-2025 21:13               44647
apk-tools3-static-3.0.0_rc4_git20250421-r0.apk     14-May-2025 21:13             2178918
aports-glmr-0.2-r28.apk                            14-May-2025 21:13             2667321
appcenter-8.0.0-r0.apk                             12-Nov-2024 21:55              402664
appcenter-lang-8.0.0-r0.apk                        12-Nov-2024 21:55              264505
aprilsh-0.7.12-r5.apk                              14-May-2025 21:13                1684
aprilsh-client-0.7.12-r5.apk                       14-May-2025 21:13             3340042
aprilsh-doc-0.7.12-r5.apk                          14-May-2025 21:13               14755
aprilsh-openrc-0.7.12-r5.apk                       14-May-2025 21:13                1872
aprilsh-server-0.7.12-r5.apk                       14-May-2025 21:13             2495460
apt-dater-1.0.4-r4.apk                             25-Oct-2024 20:05               57747
apt-dater-doc-1.0.4-r4.apk                         25-Oct-2024 20:05               10109
apt-dater-lang-1.0.4-r4.apk                        25-Oct-2024 20:05               13449
apt-mirror-0.5.4-r0.apk                            25-Oct-2024 20:05                9672
apt-mirror-doc-0.5.4-r0.apk                        25-Oct-2024 20:05                4739
apt-swarm-0.5.1-r0.apk                             26-May-2025 10:56             3229023
apt-swarm-bash-completion-0.5.1-r0.apk             26-May-2025 10:56                4290
apt-swarm-fish-completion-0.5.1-r0.apk             26-May-2025 10:56                5883
apt-swarm-openrc-0.5.1-r0.apk                      26-May-2025 10:56                1974
apt-swarm-zsh-completion-0.5.1-r0.apk              26-May-2025 10:56                6023
aptdec-1.8.0-r1.apk                                08-Feb-2025 23:43               86973
aptdec-dev-1.8.0-r1.apk                            08-Feb-2025 23:43                3506
aptdec-libs-1.8.0-r1.apk                           08-Feb-2025 23:43               14318
apulse-0.1.13-r2.apk                               25-Oct-2024 20:05               36527
apulse-doc-0.1.13-r2.apk                           25-Oct-2024 20:05                2827
aqemu-0.9.4-r3.apk                                 25-Oct-2024 20:05             1652954
aqemu-doc-0.9.4-r3.apk                             25-Oct-2024 20:05                7697
arc-20221218-r0.apk                                25-Oct-2024 20:05                1787
arc-cinnamon-20221218-r0.apk                       25-Oct-2024 20:05               69667
arc-dark-20221218-r0.apk                           25-Oct-2024 20:05                1793
arc-dark-cinnamon-20221218-r0.apk                  25-Oct-2024 20:05               70070
arc-dark-gnome-20221218-r0.apk                     25-Oct-2024 20:05               27726
arc-dark-gtk2-20221218-r0.apk                      25-Oct-2024 20:05               39320
arc-dark-gtk3-20221218-r0.apk                      25-Oct-2024 20:05               95510
arc-dark-gtk4-20221218-r0.apk                      25-Oct-2024 20:05               88307
arc-dark-metacity-20221218-r0.apk                  25-Oct-2024 20:05               17891
arc-dark-xfwm-20221218-r0.apk                      25-Oct-2024 20:05                8058
arc-darker-20221218-r0.apk                         25-Oct-2024 20:05                1804
arc-darker-gtk2-20221218-r0.apk                    25-Oct-2024 20:05               39429
arc-darker-gtk3-20221218-r0.apk                    25-Oct-2024 20:05              126715
arc-darker-gtk4-20221218-r0.apk                    25-Oct-2024 20:05              112798
arc-darker-metacity-20221218-r0.apk                25-Oct-2024 20:05               17902
arc-darker-xfwm-20221218-r0.apk                    25-Oct-2024 20:05                8059
arc-gnome-20221218-r0.apk                          25-Oct-2024 20:05               29327
arc-gtk2-20221218-r0.apk                           25-Oct-2024 20:05               38430
arc-gtk3-20221218-r0.apk                           25-Oct-2024 20:05              128895
arc-gtk4-20221218-r0.apk                           25-Oct-2024 20:05              116243
arc-icon-theme-20161122-r0.apk                     25-Oct-2024 20:05             4571266
arc-lighter-20221218-r0.apk                        25-Oct-2024 20:05                1807
arc-lighter-gtk2-20221218-r0.apk                   25-Oct-2024 20:05               38442
arc-lighter-gtk3-20221218-r0.apk                   25-Oct-2024 20:05              127570
arc-lighter-gtk4-20221218-r0.apk                   25-Oct-2024 20:05              115527
arc-lighter-metacity-20221218-r0.apk               25-Oct-2024 20:05               17705
arc-lighter-xfwm-20221218-r0.apk                   25-Oct-2024 20:05                7904
arc-metacity-20221218-r0.apk                       25-Oct-2024 20:05               17659
arc-theme-20221218-r0.apk                          25-Oct-2024 20:05                1482
arc-xfwm-20221218-r0.apk                           25-Oct-2024 20:05                7882
armagetronad-0.2.9.1.1-r0.apk                      25-Oct-2024 20:05             1628217
armagetronad-doc-0.2.9.1.1-r0.apk                  25-Oct-2024 20:05               94148
asdf-0.17.0-r0.apk                                 21-May-2025 09:26             1796943
asdf-doc-0.17.0-r0.apk                             21-May-2025 09:26                2301
aspell-es-1.11-r0.apk                              25-Oct-2024 20:05              545800
asymptote-3.04-r0.apk                              31-May-2025 06:51             1462203
asymptote-doc-3.04-r0.apk                          31-May-2025 06:51             3286587
atac-0.18.1-r0.apk                                 25-Nov-2024 21:43             5054750
atomicparsley-20240608-r0.apk                      25-Oct-2024 20:05              118063
atool-0.39.0-r4.apk                                25-Oct-2024 20:05               18153
atool-bash-completion-0.39.0-r4.apk                25-Oct-2024 20:05                2091
atool-doc-0.39.0-r4.apk                            25-Oct-2024 20:05                9837
aufs-util-20161219-r3.apk                          25-Oct-2024 20:05              195699
aufs-util-dev-20161219-r3.apk                      25-Oct-2024 20:05                1495
aufs-util-doc-20161219-r3.apk                      25-Oct-2024 20:05               34708
authenticator-rs-0.7.5-r0.apk                      25-Oct-2024 20:05             2076278
authenticator-rs-lang-0.7.5-r0.apk                 25-Oct-2024 20:05                3823
autoconf-policy-0.1-r0.apk                         25-Oct-2024 20:05                5619
autoremove-torrents-1.5.5-r0.apk                   25-Oct-2024 20:05               36290
autoremove-torrents-doc-1.5.5-r0.apk               25-Oct-2024 20:05               11855
autoremove-torrents-pyc-1.5.5-r0.apk               25-Oct-2024 20:05               55081
autorestic-1.8.3-r5.apk                            14-May-2025 21:13             3873702
autotrash-0.4.7-r0.apk                             25-Oct-2024 20:05               23360
autotrash-pyc-0.4.7-r0.apk                         25-Oct-2024 20:05               14394
avahi2dns-0.1.0-r1.apk                             14-May-2025 21:13             2563713
avahi2dns-openrc-0.1.0-r1.apk                      14-May-2025 21:13                1846
avarice-2.14-r4.apk                                25-Oct-2024 20:05               82143
avarice-doc-2.14-r4.apk                            25-Oct-2024 20:05                9672
avra-1.4.2-r0.apk                                  25-Oct-2024 20:05               38588
avra-dev-1.4.2-r0.apk                              25-Oct-2024 20:05              260830
azote-1.14.0-r0.apk                                14-Dec-2024 20:38             7979171
azote-pyc-1.14.0-r0.apk                            14-Dec-2024 20:38              100359
azpainter-3.0.11-r0.apk                            22-Feb-2025 11:09              718619
azpainter-doc-3.0.11-r0.apk                        22-Feb-2025 11:09               42907
azure-iot-sdk-c-static-1.11.0-r0.apk               25-Oct-2024 20:05              795678
b2-tools-4.3.2-r0.apk                              03-May-2025 08:44               74225
b2-tools-pyc-4.3.2-r0.apk                          03-May-2025 08:44              139146
b2sum-20190729-r2.apk                              25-Oct-2024 20:05               16278
b2sum-doc-20190729-r2.apk                          25-Oct-2024 20:05                2801
backup-manager-0.7.15-r1.apk                       25-Oct-2024 20:05               56642
baikal-0.10.1-r1.apk                               27-May-2025 21:41             1311146
baikal-mysql-0.10.1-r1.apk                         27-May-2025 21:41                1320
baikal-pgsql-0.10.1-r1.apk                         27-May-2025 21:41                1320
baikal-sqlite-0.10.1-r1.apk                        27-May-2025 21:41                1470
bake-2.5.1-r0.apk                                  25-Oct-2024 20:05              112041
bakelite-0.4.2-r0.apk                              25-Oct-2024 20:05               39427
bananui-2.0.0-r0.apk                               25-Oct-2024 20:05               52765
bananui-clock-0.1.0-r0.apk                         25-Oct-2024 20:05                7016
bananui-daemons-0.1.0-r0.apk                       25-Oct-2024 20:05               39639
bananui-dbg-2.0.0-r0.apk                           25-Oct-2024 20:05              175029
bananui-demos-2.0.0-r0.apk                         25-Oct-2024 20:05                8364
bananui-dev-2.0.0-r0.apk                           25-Oct-2024 20:05               84484
bananui-shell-0.2.0-r0.apk                         25-Oct-2024 20:05               94679
bankstown-lv2-1.1.0-r0.apk                         25-Oct-2024 20:05              172661
baresip-3.20.0-r1.apk                              31-May-2025 21:03             1032351
baresip-dev-3.20.0-r1.apk                          31-May-2025 21:03               16259
barman-3.13.0-r0.apk                               25-Feb-2025 10:17              353708
barman-bash-completion-3.13.0-r0.apk               25-Feb-2025 10:17                1619
barman-doc-3.13.0-r0.apk                           25-Feb-2025 10:17               82162
barman-pyc-3.13.0-r0.apk                           25-Feb-2025 10:17              563475
barnyard2-2.1.14_git20160413-r1.apk                25-Oct-2024 20:05              125149
barnyard2-openrc-2.1.14_git20160413-r1.apk         25-Oct-2024 20:05                2827
barrier-2.4.0-r2.apk                               17-Feb-2025 12:07              931330
barrier-doc-2.4.0-r2.apk                           17-Feb-2025 12:07               13301
bartib-1.0.1-r1.apk                                25-Oct-2024 20:05              368418
base64c-0.2.1-r0.apk                               25-Oct-2024 20:05                4346
base64c-dev-0.2.1-r0.apk                           25-Oct-2024 20:05                5210
bash-pinyin-completion-rs-0.2.3-r0.apk             30-Apr-2025 17:49              673170
bash-pinyin-completion-rs-doc-0.2.3-r0.apk         30-Apr-2025 17:49               13901
batmon-0.0.1-r0.apk                                25-Oct-2024 20:05              454748
bcg729-1.1.1-r0.apk                                25-Oct-2024 20:05               36244
bcg729-dev-1.1.1-r0.apk                            25-Oct-2024 20:05                3549
bchunk-1.2.2-r3.apk                                25-Oct-2024 20:05                7531
bchunk-doc-1.2.2-r3.apk                            25-Oct-2024 20:05                3065
bdfr-2.6.2-r1.apk                                  25-Oct-2024 20:05              134074
beard-0.4-r0.apk                                   25-Oct-2024 20:05                3217
beard-doc-0.4-r0.apk                               25-Oct-2024 20:05                2539
bees-0.10-r2.apk                                   25-Oct-2024 20:05              310458
bees-openrc-0.10-r2.apk                            25-Oct-2024 20:05                1992
belcard-5.3.105-r0.apk                             25-Feb-2025 12:48               12661
belcard-dev-5.3.105-r0.apk                         25-Feb-2025 12:48               11735
belcard-libs-5.3.105-r0.apk                        25-Feb-2025 12:48              197980
belle-sip-5.3.105-r0.apk                           25-Feb-2025 12:52              645226
belle-sip-dev-5.3.105-r0.apk                       25-Feb-2025 12:52               55170
belr-5.3.105-r0.apk                                25-Feb-2025 12:52              104659
belr-dev-5.3.105-r0.apk                            25-Feb-2025 12:52               14883
berry-lang-1.1.0-r0.apk                            25-Oct-2024 20:05               99210
bestline-0.0_git20211108-r0.apk                    25-Oct-2024 20:05               20559
bestline-dev-0.0_git20211108-r0.apk                25-Oct-2024 20:05                1720
bestline-doc-0.0_git20211108-r0.apk                25-Oct-2024 20:05            18463004
bettercap-2.41.0-r2.apk                            29-May-2025 11:57            19424453
bettercap-doc-2.41.0-r2.apk                        29-May-2025 11:57               13917
bgpq4-1.15-r0.apk                                  25-Oct-2024 20:05               34610
bgpq4-doc-1.15-r0.apk                              25-Oct-2024 20:05                6496
bgs-0.8-r1.apk                                     25-Oct-2024 20:05                5954
bgs-doc-0.8-r1.apk                                 25-Oct-2024 20:05                2360
biboumi-9.0-r8.apk                                 28-May-2025 21:37              276788
biboumi-doc-9.0-r8.apk                             28-May-2025 21:37                1515
biboumi-openrc-9.0-r8.apk                          28-May-2025 21:37                1938
bindfs-1.17.7-r0.apk                               02-Jan-2025 15:13               22064
bindfs-doc-1.17.7-r0.apk                           02-Jan-2025 15:13                9222
biometryd-0.3.1-r7.apk                             17-Feb-2025 12:07              288775
biometryd-dev-0.3.1-r7.apk                         17-Feb-2025 12:07               13608
birdtray-1.9.0-r1.apk                              25-Oct-2024 20:05              404340
bitlbee-facebook-1.2.2-r0.apk                      25-Oct-2024 20:05               54988
bitlbee-mastodon-1.4.5-r0.apk                      25-Oct-2024 20:05               44341
bitritter-0.1.1-r0.apk                             25-Oct-2024 20:05             2223253
bkt-0.8.0-r0.apk                                   25-Oct-2024 20:05              370286
bkt-doc-0.8.0-r0.apk                               25-Oct-2024 20:05                7429
blackbox-1.20220610-r1.apk                         25-Oct-2024 20:05               16084
blazesym-0.1.1-r0.apk                              28-May-2025 14:21             1243498
blazesym-dev-0.1.1-r0.apk                          28-May-2025 14:21               10947
blazesym-static-0.1.1-r0.apk                       28-May-2025 14:21            30280442
blip-0.10-r0.apk                                   25-Oct-2024 20:05               15662
blip-doc-0.10-r0.apk                               25-Oct-2024 20:05               30340
bliss-0.77-r1.apk                                  25-Oct-2024 20:05               62391
bliss-dev-0.77-r1.apk                              25-Oct-2024 20:05              101657
bobcat-4.09.00-r0.apk                              25-Oct-2024 20:05              696935
bobcat-dev-4.09.00-r0.apk                          25-Oct-2024 20:05              744939
bobcat-doc-4.09.00-r0.apk                          25-Oct-2024 20:05              353686
bochs-2.8-r1.apk                                   20-Feb-2025 18:48              959599
bochs-doc-2.8-r1.apk                               20-Feb-2025 18:48              142333
boinc-7.24.3-r0.apk                                25-Oct-2024 20:05             1599517
boinc-dev-7.24.3-r0.apk                            25-Oct-2024 20:05              595693
boinc-doc-7.24.3-r0.apk                            25-Oct-2024 20:05                8160
boinc-gui-7.24.3-r0.apk                            25-Oct-2024 20:05             1028681
boinc-lang-7.24.3-r0.apk                           25-Oct-2024 20:05              897975
boinc-libs-7.24.3-r0.apk                           25-Oct-2024 20:05              204483
boinc-screensaver-7.24.3-r0.apk                    25-Oct-2024 20:05              122070
bomctl-0.1.9-r6.apk                                14-May-2025 21:13             9353656
bomctl-bash-completion-0.1.9-r6.apk                14-May-2025 21:13                5265
bomctl-fish-completion-0.1.9-r6.apk                14-May-2025 21:13                4455
bomctl-zsh-completion-0.1.9-r6.apk                 14-May-2025 21:13                4164
bonzomatic-20230615-r0.apk                         25-Oct-2024 20:05              634652
bootchart2-0.14.9-r0.apk                           03-Jan-2025 10:13              139690
bootinfo-0.1.0-r4.apk                              25-Oct-2024 20:05               19898
bootinfo-pyc-0.1.0-r4.apk                          25-Oct-2024 20:05                8444
bootloose-0.7.1-r11.apk                            14-May-2025 21:13             2219441
bootterm-0.5-r0.apk                                25-Oct-2024 20:05               18207
bootterm-dbg-0.5-r0.apk                            25-Oct-2024 20:05                2354
bore-0.5.2-r0.apk                                  15-Dec-2024 19:18              585347
boson-0_git20211219-r0.apk                         25-Oct-2024 20:05               16248
botan2-2.19.5-r0.apk                               28-May-2025 21:37              397313
botan2-dev-2.19.5-r0.apk                           28-May-2025 21:37              318269
botan2-doc-2.19.5-r0.apk                           28-May-2025 21:37              313573
botan2-libs-2.19.5-r0.apk                          28-May-2025 21:37             2574382
boxes-2.3.1-r0.apk                                 25-Oct-2024 20:05               76873
boxes-doc-2.3.1-r0.apk                             25-Oct-2024 20:05                7282
brial-1.2.11-r4.apk                                25-Oct-2024 20:05             1058780
brial-dev-1.2.11-r4.apk                            25-Oct-2024 20:05             1813225
brltty-6.7-r1.apk                                  28-Mar-2025 16:15             2100159
brltty-dev-6.7-r1.apk                              28-Mar-2025 16:15              143445
brltty-doc-6.7-r1.apk                              28-Mar-2025 16:15                9613
brltty-lang-6.7-r1.apk                             28-Mar-2025 16:15              152253
brltty-static-6.7-r1.apk                           28-Mar-2025 16:15               24024
btpd-0.16-r2.apk                                   25-Oct-2024 20:05               71949
btpd-doc-0.16-r2.apk                               25-Oct-2024 20:05                8601
bump2version-1.0.1-r6.apk                          25-Oct-2024 20:05               21350
bump2version-pyc-1.0.1-r6.apk                      25-Oct-2024 20:05               29807
burp-3.1.4-r0.apk                                  25-Oct-2024 20:05              168548
burp-doc-3.1.4-r0.apk                              25-Oct-2024 20:05              101797
burp-server-3.1.4-r0.apk                           25-Oct-2024 20:05               36928
butane-0.24.0-r0.apk                               29-May-2025 22:22             3264761
bwrap-oci-0.2-r1.apk                               25-Oct-2024 20:05               14846
bwrap-oci-doc-0.2-r1.apk                           25-Oct-2024 20:05                2549
bzmenu-0.2.1-r0.apk                                18-May-2025 22:29             1194499
caffeine-ng-4.2.0-r1.apk                           25-Oct-2024 20:05              102812
caffeine-ng-doc-4.2.0-r1.apk                       25-Oct-2024 20:05                3238
caffeine-ng-lang-4.2.0-r1.apk                      25-Oct-2024 20:05               35185
caja-gtkhash-plugin-1.5-r0.apk                     25-Oct-2024 20:05               24514
capnet-assist-8.0.0-r0.apk                         14-Apr-2025 10:07               44217
capnet-assist-lang-8.0.0-r0.apk                    14-Apr-2025 10:07               38140
caps2esc-0.3.2-r0.apk                              25-Oct-2024 20:05                4503
care-2.3.0-r1.apk                                  25-Oct-2024 20:05               90496
care-doc-2.3.0-r1.apk                              25-Oct-2024 20:05                8076
cargo-crev-0.26.3-r0.apk                           02-Mar-2025 16:15             6529804
cargo-flamegraph-0.6.8-r0.apk                      29-Apr-2025 19:46             1316887
cargo-flamegraph-bash-completion-0.6.8-r0.apk      29-Apr-2025 19:46                2440
cargo-flamegraph-doc-0.6.8-r0.apk                  29-Apr-2025 19:46               14954
cargo-flamegraph-fish-completion-0.6.8-r0.apk      29-Apr-2025 19:46                2618
cargo-flamegraph-zsh-completion-0.6.8-r0.apk       29-Apr-2025 19:46                2982
cargo-geiger-0.12.0-r0.apk                         26-May-2025 11:10             5649334
cargo-geiger-doc-0.12.0-r0.apk                     26-May-2025 11:10                7860
cargo-generate-0.23.3-r0.apk                       14-May-2025 21:13             2551340
cargo-machete-0.8.0-r0.apk                         25-May-2025 23:25             1306020
cargo-machete-doc-0.8.0-r0.apk                     25-May-2025 23:25                4100
cargo-run-bin-1.7.2-r0.apk                         25-Oct-2024 20:05              456380
cargo-run-bin-doc-1.7.2-r0.apk                     25-Oct-2024 20:05                5163
cargo-show-asm-0.2.49-r0.apk                       13-Apr-2025 10:44              889974
cargo-show-asm-doc-0.2.49-r0.apk                   13-Apr-2025 10:44               10236
cargo-shuttle-0.55.0-r0.apk                        22-May-2025 14:31             4844773
cargo-shuttle-bash-completion-0.55.0-r0.apk        22-May-2025 14:31                5010
cargo-shuttle-doc-0.55.0-r0.apk                    22-May-2025 14:31                9072
cargo-shuttle-fish-completion-0.55.0-r0.apk        22-May-2025 14:31                8432
cargo-shuttle-zsh-completion-0.55.0-r0.apk         22-May-2025 14:31                7868
cargo-udeps-0.1.55-r1.apk                          24-Feb-2025 14:56             5252118
cargo-udeps-doc-0.1.55-r1.apk                      24-Feb-2025 14:56                7599
cargo-update-16.2.1-r0.apk                         23-Mar-2025 13:24             1185367
cargo-update-doc-16.2.1-r0.apk                     23-Mar-2025 13:24                8481
cargo-vendor-filterer-0.5.9-r1.apk                 25-Oct-2024 20:05              452793
castero-0.9.5-r4.apk                               14-May-2025 21:13               51686
castero-pyc-0.9.5-r4.apk                           14-May-2025 21:13               96231
castor-0.9.0-r2.apk                                25-Oct-2024 20:05              731736
catcodec-1.0.5-r2.apk                              25-Oct-2024 20:05               12090
catcodec-doc-1.0.5-r2.apk                          25-Oct-2024 20:05                5028
catdoc-0.95-r1.apk                                 25-Oct-2024 20:05              112368
catdoc-doc-0.95-r1.apk                             25-Oct-2024 20:05                9470
catfish-4.20.0-r0.apk                              27-Dec-2024 10:36              129332
catfish-doc-4.20.0-r0.apk                          27-Dec-2024 10:36               13200
catfish-lang-4.20.0-r0.apk                         27-Dec-2024 10:36              166277
catfish-pyc-4.20.0-r0.apk                          27-Dec-2024 10:36              103530
cava-0.10.4-r1.apk                                 17-Feb-2025 22:18               44339
cc65-2.19-r0.apk                                   25-Oct-2024 20:05             9224968
ccrtp-2.1.2-r0.apk                                 25-Oct-2024 20:05               90465
ccrtp-dev-2.1.2-r0.apk                             25-Oct-2024 20:05               53975
ccrtp-doc-2.1.2-r0.apk                             25-Oct-2024 20:05               32016
ccze-0.2.1-r1.apk                                  25-Oct-2024 20:05               73394
ccze-dev-0.2.1-r1.apk                              25-Oct-2024 20:05                3402
ccze-doc-0.2.1-r1.apk                              25-Oct-2024 20:05                9050
cdba-1.0-r2.apk                                    25-Oct-2024 20:05                8054
cdba-server-1.0-r2.apk                             25-Oct-2024 20:05               20674
cddlib-0.94m-r2.apk                                25-Oct-2024 20:05              173264
cddlib-dev-0.94m-r2.apk                            25-Oct-2024 20:05               14411
cddlib-doc-0.94m-r2.apk                            25-Oct-2024 20:05              884440
cddlib-static-0.94m-r2.apk                         25-Oct-2024 20:05              240205
cddlib-tools-0.94m-r2.apk                          25-Oct-2024 20:05               35486
cdist-7.0.0-r6.apk                                 25-Oct-2024 20:05              523254
cdist-pyc-7.0.0-r6.apk                             25-Oct-2024 20:05              130643
cdogs-sdl-2.1.0-r0.apk                             25-Oct-2024 20:05            34982264
certbot-dns-njalla-2.0.0-r0.apk                    27-Nov-2024 23:06                9521
certbot-dns-njalla-pyc-2.0.0-r0.apk                27-Nov-2024 23:06                4312
certbot-dns-pdns-0.1.1-r0.apk                      25-Oct-2024 20:05                9062
certbot-dns-pdns-pyc-0.1.1-r0.apk                  25-Oct-2024 20:05                3958
certigo-1.16.0-r23.apk                             14-May-2025 21:13             3831754
certstrap-1.3.0-r24.apk                            14-May-2025 21:13             2367100
cfssl-1.6.5-r5.apk                                 14-May-2025 21:13            29980627
cgiirc-0.5.12-r1.apk                               25-Oct-2024 20:06              135182
cgo-0.6.1-r1.apk                                   25-Oct-2024 20:06               10428
cgo-doc-0.6.1-r1.apk                               25-Oct-2024 20:06                4212
chamo-4.0-r0.apk                                   25-Oct-2024 20:06             6562423
chamo-byte-4.0-r0.apk                              25-Oct-2024 20:06             1508940
chamo-dev-4.0-r0.apk                               25-Oct-2024 20:06             4314530
charls-2.4.2-r0.apk                                25-Oct-2024 20:06               62523
charls-dev-2.4.2-r0.apk                            25-Oct-2024 20:06               27226
chasquid-1.15.0-r4.apk                             14-May-2025 21:13            11362584
chasquid-doc-1.15.0-r4.apk                         14-May-2025 21:13               15665
chasquid-openrc-1.15.0-r4.apk                      14-May-2025 21:13                1998
checkpolicy-3.6-r0.apk                             25-Oct-2024 20:06              377531
checkpolicy-doc-3.6-r0.apk                         25-Oct-2024 20:06                4246
cherrytree-1.4.0-r0.apk                            26-Mar-2025 17:40             2735690
cherrytree-doc-1.4.0-r0.apk                        26-Mar-2025 17:40                2153
cherrytree-lang-1.4.0-r0.apk                       26-Mar-2025 17:40              879166
chicago95-3.0.1_git20240619-r0.apk                 25-Oct-2024 20:06              492651
chicago95-fonts-3.0.1_git20240619-r0.apk           25-Oct-2024 20:06              219991
chicago95-icons-3.0.1_git20240619-r0.apk           25-Oct-2024 20:06            12587317
chim-1.1.2-r1.apk                                  25-Oct-2024 20:06             1632753
chim-doc-1.1.2-r1.apk                              25-Oct-2024 20:06                2880
chimerautils-14.2.1-r0.apk                         25-May-2025 23:19             1225792
chimerautils-dbg-14.2.1-r0.apk                     25-May-2025 23:19             3207654
chocolate-doom-3.1.0-r0.apk                        25-Oct-2024 20:06             1651976
chocolate-doom-doc-3.1.0-r0.apk                    25-Oct-2024 20:06              236897
cilium-cli-0.16.13-r5.apk                          14-May-2025 21:13            56403613
cilium-cli-bash-completion-0.16.13-r5.apk          14-May-2025 21:13                5189
cilium-cli-fish-completion-0.16.13-r5.apk          14-May-2025 21:13                4432
cilium-cli-zsh-completion-0.16.13-r5.apk           14-May-2025 21:13                4148
cimg-3.4.1-r0.apk                                  25-Oct-2024 20:06              845800
circuslinux-1.0.3-r1.apk                           25-Oct-2024 20:06               20032
circuslinux-data-1.0.3-r1.apk                      25-Oct-2024 20:06             1181487
circuslinux-doc-1.0.3-r1.apk                       25-Oct-2024 20:06               18402
ckb-next-0.6.2-r0.apk                              19-Mar-2025 11:44             1392475
ckb-next-daemon-0.6.2-r0.apk                       19-Mar-2025 11:44               79464
ckb-next-daemon-openrc-0.6.2-r0.apk                19-Mar-2025 11:44                1831
ckb-next-dev-0.6.2-r0.apk                          19-Mar-2025 11:44                4999
clatd-1.6-r0.apk                                   25-Oct-2024 20:06               12912
clementine-1.4.1_git20250121-r3.apk                14-May-2025 21:13             6216360
clevis-21-r0.apk                                   25-Jan-2025 07:03               52093
clevis-bash-completion-21-r0.apk                   25-Jan-2025 07:03                2088
clevis-dbg-21-r0.apk                               25-Jan-2025 07:03               63537
clevis-doc-21-r0.apk                               25-Jan-2025 07:03               23728
clevis-extra-pins-0_git20230629-r0.apk             25-Oct-2024 20:06                4745
click-0.5.2-r4.apk                                 17-Feb-2025 12:07              158933
click-dev-0.5.2-r4.apk                             17-Feb-2025 12:07                9347
click-doc-0.5.2-r4.apk                             17-Feb-2025 12:07                3387
click-pyc-0.5.2-r4.apk                             17-Feb-2025 12:07              178969
clinfo-3.0.23.01.25-r0.apk                         25-Oct-2024 20:06               45941
clinfo-doc-3.0.23.01.25-r0.apk                     25-Oct-2024 20:06                6628
cliphist-0.6.1-r5.apk                              14-May-2025 21:13              938183
cliphist-fzf-0.6.1-r5.apk                          14-May-2025 21:13                1840
clipit-1.4.5-r3.apk                                25-Oct-2024 20:06               66559
clipit-doc-1.4.5-r3.apk                            25-Oct-2024 20:06                2443
cliquer-1.22-r2.apk                                25-Oct-2024 20:06                7350
cliquer-dev-1.22-r2.apk                            25-Oct-2024 20:06                7579
cliquer-libs-1.22-r2.apk                           25-Oct-2024 20:06               23410
cliquer-static-1.22-r2.apk                         25-Oct-2024 20:06               25757
cliquer-tests-1.22-r2.apk                          25-Oct-2024 20:06               24595
cln-1.3.7-r1.apk                                   25-May-2025 07:49              455230
cln-dev-1.3.7-r1.apk                               25-May-2025 07:49             1246622
cln-doc-1.3.7-r1.apk                               25-May-2025 07:49               79200
cloudflared-2024.12.1-r5.apk                       14-May-2025 21:13             9353411
cloudflared-doc-2024.12.1-r5.apk                   14-May-2025 21:13                1957
cloudflared-openrc-2024.12.1-r5.apk                14-May-2025 21:13                1840
cloudfoundry-cli-8.7.9-r9.apk                      14-May-2025 21:13             8990286
cluster-glue-1.0.12-r5.apk                         25-Oct-2024 20:06              263495
cluster-glue-dev-1.0.12-r5.apk                     25-Oct-2024 20:06             1058511
cluster-glue-doc-1.0.12-r5.apk                     25-Oct-2024 20:06               33722
cluster-glue-libs-1.0.12-r5.apk                    25-Oct-2024 20:06              116603
cmusfm-0.5.0-r0.apk                                25-Oct-2024 20:06               14167
coccinelle-1.1.1-r2.apk                            25-Oct-2024 20:06             7100076
coccinelle-bash-completion-1.1.1-r2.apk            25-Oct-2024 20:06                2920
coccinelle-doc-1.1.1-r2.apk                        25-Oct-2024 20:06               16356
cocogitto-6.3.0-r0.apk                             21-Mar-2025 19:28             1956338
cocogitto-bash-completion-6.3.0-r0.apk             21-Mar-2025 19:28                3116
cocogitto-doc-6.3.0-r0.apk                         21-Mar-2025 19:28               38706
cocogitto-fish-completion-6.3.0-r0.apk             21-Mar-2025 19:28                3406
cocogitto-zsh-completion-6.3.0-r0.apk              21-Mar-2025 19:28                3118
code-minimap-0.6.7-r0.apk                          12-Dec-2024 19:36              374693
code-minimap-doc-0.6.7-r0.apk                      12-Dec-2024 19:36                8182
codec2-1.2.0-r0.apk                                25-May-2025 22:14              682134
codec2-dev-1.2.0-r0.apk                            25-May-2025 22:14               15786
colormake-0.9.20170221-r0.apk                      25-Oct-2024 20:06                4130
colormake-doc-0.9.20170221-r0.apk                  25-Oct-2024 20:06                2729
colorpicker-0_git20201128-r1.apk                   25-Oct-2024 20:06                4257
comics-downloader-0.33.8-r10.apk                   14-May-2025 21:13             3901591
comics-downloader-gui-0.33.8-r10.apk               14-May-2025 21:13             5698707
commit-lsp-0.1.0-r0.apk                            14-May-2025 21:13             2226855
commoncpp-7.0.1-r1.apk                             25-Oct-2024 20:06              249712
commoncpp-dev-7.0.1-r1.apk                         25-Oct-2024 20:06              177179
commoncpp-doc-7.0.1-r1.apk                         25-Oct-2024 20:06               15185
commoncpp-tools-7.0.1-r1.apk                       25-Oct-2024 20:06               38994
compiz-0.9.14.2-r10.apk                            14-May-2025 21:13             5801198
compiz-dev-0.9.14.2-r10.apk                        14-May-2025 21:13              120043
compiz-lang-0.9.14.2-r10.apk                       14-May-2025 21:13             1265554
compiz-pyc-0.9.14.2-r10.apk                        14-May-2025 21:13              114206
compiz-utils-0.9.14.2-r10.apk                      14-May-2025 21:13                3420
conntracct-0.2.7-r31.apk                           14-May-2025 21:13             5157427
conntracct-openrc-0.2.7-r31.apk                    14-May-2025 21:13                1967
console_bridge-1.0.2-r0.apk                        25-Oct-2024 20:06                9396
console_bridge-dev-1.0.2-r0.apk                    25-Oct-2024 20:06                4843
consul-replicate-0.4.0-r31.apk                     14-May-2025 21:13             2986766
contractor-0.3.5-r0.apk                            12-Nov-2024 21:54               24825
convert2json-2.2.2-r0.apk                          26-May-2025 00:38                1365
convert2json-bson-2.2.2-r0.apk                     26-May-2025 00:38                1318
convert2json-bson-jaq-2.2.2-r0.apk                 26-May-2025 00:38              270799
convert2json-bson-json-2.2.2-r0.apk                26-May-2025 00:38              262755
convert2json-cbor-2.2.2-r0.apk                     26-May-2025 00:38                1320
convert2json-cbor-jaq-2.2.2-r0.apk                 26-May-2025 00:38              238550
convert2json-cbor-json-2.2.2-r0.apk                26-May-2025 00:38              229001
convert2json-csv-2.2.2-r0.apk                      26-May-2025 00:38                1318
convert2json-csv-jaq-2.2.2-r0.apk                  26-May-2025 00:38              257338
convert2json-csv-json-2.2.2-r0.apk                 26-May-2025 00:38              248278
convert2json-doc-2.2.2-r0.apk                      26-May-2025 00:38               13555
convert2json-ini-2.2.2-r0.apk                      26-May-2025 00:38                1317
convert2json-ini-jaq-2.2.2-r0.apk                  26-May-2025 00:38              216808
convert2json-ini-json-2.2.2-r0.apk                 26-May-2025 00:38              208208
convert2json-jaq-2.2.2-r0.apk                      26-May-2025 00:38                1398
convert2json-json-2.2.2-r0.apk                     26-May-2025 00:38                1381
convert2json-messagepack-2.2.2-r0.apk              26-May-2025 00:38                1325
convert2json-messagepack-jaq-2.2.2-r0.apk          26-May-2025 00:38              234446
convert2json-messagepack-json-2.2.2-r0.apk         26-May-2025 00:38              225163
convert2json-plist-2.2.2-r0.apk                    26-May-2025 00:38                1318
convert2json-plist-jaq-2.2.2-r0.apk                26-May-2025 00:38              277877
convert2json-plist-json-2.2.2-r0.apk               26-May-2025 00:38              269293
convert2json-rsv-2.2.2-r0.apk                      26-May-2025 00:38                1319
convert2json-rsv-jaq-2.2.2-r0.apk                  26-May-2025 00:38              194719
convert2json-rsv-json-2.2.2-r0.apk                 26-May-2025 00:38              184299
convert2json-toml-2.2.2-r0.apk                     26-May-2025 00:38                1320
convert2json-toml-jaq-2.2.2-r0.apk                 26-May-2025 00:38              284866
convert2json-toml-json-2.2.2-r0.apk                26-May-2025 00:38              275725
convert2json-xml-2.2.2-r0.apk                      26-May-2025 00:38                1318
convert2json-xml-jaq-2.2.2-r0.apk                  26-May-2025 00:38              229905
convert2json-xml-json-2.2.2-r0.apk                 26-May-2025 00:38              220605
convert2json-yaml-2.2.2-r0.apk                     26-May-2025 00:38                1321
convert2json-yaml-jaq-2.2.2-r0.apk                 26-May-2025 00:38              305915
convert2json-yaml-json-2.2.2-r0.apk                26-May-2025 00:38              297590
corosync-3.1.9-r0.apk                              29-May-2025 03:16              267247
corosync-dev-3.1.9-r0.apk                          29-May-2025 03:16              436899
corosync-doc-3.1.9-r0.apk                          29-May-2025 03:16              194824
corosync-openrc-3.1.9-r0.apk                       29-May-2025 03:16                1824
cortex-tenant-1.15.2-r6.apk                        14-May-2025 21:13             4311258
cortex-tenant-openrc-1.15.2-r6.apk                 14-May-2025 21:13                2095
cosmic-icons-1.0.0_alpha7-r0.apk                   29-Apr-2025 19:46              237029
cowsay-3.04-r2.apk                                 25-Oct-2024 20:06               18815
cowsay-doc-3.04-r2.apk                             25-Oct-2024 20:06                4096
coxeter-3.0-r1.apk                                 25-Oct-2024 20:06               49653
coxeter-dev-3.0-r1.apk                             25-Oct-2024 20:06               58081
coxeter-libs-3.0-r1.apk                            25-Oct-2024 20:06              293087
cpdf-2.8.1-r0.apk                                  14-May-2025 21:13             2151773
cpdf-doc-2.8.1-r0.apk                              14-May-2025 21:13              571403
cpiped-0.1.0-r0.apk                                25-Oct-2024 20:06                6946
cpplint-2.0.2-r0.apk                               13-Apr-2025 23:28               82222
cpplint-pyc-2.0.2-r0.apk                           13-Apr-2025 23:28              101876
cpuburn-1.4a_git20160316-r2.apk                    25-Oct-2024 20:06               11033
cpufetch-1.06-r0.apk                               25-Oct-2024 20:06               45355
cpufetch-doc-1.06-r0.apk                           25-Oct-2024 20:06                3179
crazydiskinfo-1.1.0-r1.apk                         25-Oct-2024 20:06               30277
createrepo_c-1.1.4-r0.apk                          25-Oct-2024 20:06               47904
createrepo_c-bash-completion-1.1.4-r0.apk          25-Oct-2024 20:06                2948
createrepo_c-dev-1.1.4-r0.apk                      25-Oct-2024 20:06               32228
createrepo_c-doc-1.1.4-r0.apk                      25-Oct-2024 20:06                8862
createrepo_c-libs-1.1.4-r0.apk                     25-Oct-2024 20:06               92729
crispy-doom-7.0-r0.apk                             25-Oct-2024 20:06             1853380
crispy-doom-doc-7.0-r0.apk                         25-Oct-2024 20:06              109392
crossplane-0.5.8-r3.apk                            25-Oct-2024 20:06               30929
crossplane-pyc-0.5.8-r3.apk                        25-Oct-2024 20:06               40189
crowdsec-1.6.8-r2.apk                              14-May-2025 21:13            36042286
crowdsec-email-plugin-1.6.8-r2.apk                 14-May-2025 21:13             8821622
crowdsec-http-plugin-1.6.8-r2.apk                  14-May-2025 21:13             8781421
crowdsec-openrc-1.6.8-r2.apk                       14-May-2025 21:13                1845
crowdsec-sentinel-plugin-1.6.8-r2.apk              14-May-2025 21:13             8776217
crowdsec-slack-plugin-1.6.8-r2.apk                 14-May-2025 21:13             8817604
crowdsec-splunk-plugin-1.6.8-r2.apk                14-May-2025 21:13             8775616
crun-vm-0.3.0-r0.apk                               12-Nov-2024 11:34             1169582
crun-vm-doc-0.3.0-r0.apk                           12-Nov-2024 11:34               13200
cscope-15.9-r1.apk                                 25-Oct-2024 20:06              156255
cscope-doc-15.9-r1.apk                             25-Oct-2024 20:06                7672
csfml-2.5.2-r0.apk                                 25-Oct-2024 20:06               90966
csfml-dev-2.5.2-r0.apk                             25-Oct-2024 20:06               79033
csfml-doc-2.5.2-r0.apk                             25-Oct-2024 20:06              208881
csmith-2.3.0-r2.apk                                25-Oct-2024 20:06              307884
csmith-doc-2.3.0-r2.apk                            25-Oct-2024 20:06                3146
csol-1.6.0-r0.apk                                  25-Oct-2024 20:06               36501
csol-doc-1.6.0-r0.apk                              25-Oct-2024 20:06                3942
ctorrent-dnh-3.3.2-r2.apk                          25-Oct-2024 20:06               91529
cups-pdf-3.0.1-r2.apk                              25-Oct-2024 20:06               22257
curlftpfs-0.9.2-r3.apk                             25-Oct-2024 20:06               26183
curlftpfs-doc-0.9.2-r3.apk                         25-Oct-2024 20:06                6266
curtail-1.11.1-r0.apk                              25-Oct-2024 20:06               27383
curtail-lang-1.11.1-r0.apk                         25-Oct-2024 20:06               67465
cutechess-1.3.1-r0.apk                             25-Oct-2024 20:06             1101920
cutechess-cli-1.3.1-r0.apk                         25-Oct-2024 20:06              336672
cutechess-cli-doc-1.3.1-r0.apk                     25-Oct-2024 20:06                6713
cutechess-doc-1.3.1-r0.apk                         25-Oct-2024 20:06                3629
cvise-2.11.0-r0.apk                                08-Mar-2025 13:13             4783177
cvise-pyc-2.11.0-r0.apk                            08-Mar-2025 13:13               61137
cvs-fast-export-1.65-r0.apk                        25-Oct-2024 20:06               48732
cvs-fast-export-doc-1.65-r0.apk                    25-Oct-2024 20:06               17847
cvs-fast-export-tools-1.65-r0.apk                  25-Oct-2024 20:06                8850
cyrus-sasl-xoauth2-0.2-r1.apk                      25-Oct-2024 20:06                6400
cyrus-sasl-xoauth2-doc-0.2-r1.apk                  25-Oct-2024 20:06                2331
cyrus-sasl-xoauth2-static-0.2-r1.apk               25-Oct-2024 20:06                6879
cz-viator-hourglass-black-20210706-r0.apk          25-Oct-2024 20:06              224306
daemontools-0.76-r3.apk                            25-Oct-2024 20:06               51975
daemontools-openrc-0.76-r3.apk                     25-Oct-2024 20:06                2007
daktilo-0.6.0-r0.apk                               25-Oct-2024 20:06             1882633
daktilo-bash-completion-0.6.0-r0.apk               25-Oct-2024 20:06                2216
daktilo-doc-0.6.0-r0.apk                           25-Oct-2024 20:06                8872
daktilo-fish-completion-0.6.0-r0.apk               25-Oct-2024 20:06                1982
daktilo-zsh-completion-0.6.0-r0.apk                25-Oct-2024 20:06                2320
darts-clone-0_git20181117-r1.apk                   25-May-2025 07:49               37181
darts-clone-dev-0_git20181117-r1.apk               25-May-2025 07:49               13368
dasht-2.4.0-r0.apk                                 25-Oct-2024 20:06               14556
dasht-doc-2.4.0-r0.apk                             25-Oct-2024 20:06               11655
dasht-zsh-completion-2.4.0-r0.apk                  25-Oct-2024 20:06                2111
davmail-6.3.0-r0.apk                               17-Apr-2025 17:50             9864910
dbmate-2.26.0-r2.apk                               14-May-2025 21:13            10923954
dbmate-doc-2.26.0-r2.apk                           14-May-2025 21:13                2319
dbus-broker-36-r0.apk                              25-Oct-2024 20:06               85941
dbus-broker-doc-36-r0.apk                          25-Oct-2024 20:06                5982
dcmtk-3.6.9-r0.apk                                 07-Apr-2025 22:06             1300929
dcmtk-dev-3.6.9-r0.apk                             07-Apr-2025 22:06             1697766
dcmtk-doc-3.6.9-r0.apk                             07-Apr-2025 22:06              263609
dcmtk-openrc-3.6.9-r0.apk                          07-Apr-2025 22:06                1735
dcnnt-0.10.0-r1.apk                                25-Oct-2024 20:06               28449
dcnnt-doc-0.10.0-r1.apk                            25-Oct-2024 20:06                6748
dcnnt-pyc-0.10.0-r1.apk                            25-Oct-2024 20:06               63150
ddcci-driver-linux-src-0.4.5-r2.apk                19-Mar-2025 11:44               19573
ddgr-2.2-r0.apk                                    25-Oct-2024 20:06               20554
ddgr-bash-completion-2.2-r0.apk                    25-Oct-2024 20:06                2253
ddgr-doc-2.2-r0.apk                                25-Oct-2024 20:06               11799
ddgr-fish-completion-2.2-r0.apk                    25-Oct-2024 20:06                2335
ddgr-zsh-completion-2.2-r0.apk                     25-Oct-2024 20:06                2737
ddserver-0_git20200930-r1.apk                      25-Oct-2024 20:06               11499
deadbeef-soxr-20180801-r0.apk                      25-Oct-2024 20:06                5462
debconf-1.5.82-r0.apk                              25-Oct-2024 20:06               71071
debconf-bash-completion-1.5.82-r0.apk              25-Oct-2024 20:06                1901
debconf-doc-1.5.82-r0.apk                          25-Oct-2024 20:06               27446
debconf-lang-1.5.82-r0.apk                         25-Oct-2024 20:06              135606
debconf-utils-1.5.82-r0.apk                        25-Oct-2024 20:06                6822
decoder-0.7.0-r0.apk                               10-Apr-2025 13:22             2121601
decoder-lang-0.7.0-r0.apk                          10-Apr-2025 13:22               60382
dehydrated-0.7.1-r0.apk                            25-Oct-2024 20:06               27074
desed-1.2.1-r1.apk                                 25-Oct-2024 20:06              410020
desed-doc-1.2.1-r1.apk                             25-Oct-2024 20:06                2952
desync-0.9.6-r5.apk                                14-May-2025 21:13             7757129
detox-2.0.0-r0.apk                                 25-Oct-2024 20:06              113989
detox-doc-2.0.0-r0.apk                             25-Oct-2024 20:06               21210
devil-1.8.0-r0.apk                                 25-Oct-2024 20:06              233676
devil-dev-1.8.0-r0.apk                             25-Oct-2024 20:06               13185
dewduct-0.2.3-r0.apk                               25-Oct-2024 20:06             1156382
dfl-applications-0.2.0-r0.apk                      25-Oct-2024 20:06               20569
dfl-applications-dev-0.2.0-r0.apk                  25-Oct-2024 20:06                4205
dfl-ipc-0.2.0-r0.apk                               25-Oct-2024 20:06               19490
dfl-ipc-dev-0.2.0-r0.apk                           25-Oct-2024 20:06                3681
dfl-login1-0.2.0-r0.apk                            25-Oct-2024 20:06               16342
dfl-login1-dev-0.2.0-r0.apk                        25-Oct-2024 20:06                3521
dfl-sni-0.2.0-r0.apk                               25-Oct-2024 20:06               29792
dfl-sni-dev-0.2.0-r0.apk                           25-Oct-2024 20:06                4209
dfu-programmer-1.1.0-r0.apk                        25-Oct-2024 20:06               37952
dfu-programmer-bash-completion-1.1.0-r0.apk        25-Oct-2024 20:06                2827
dfu-programmer-doc-1.1.0-r0.apk                    25-Oct-2024 20:06                5874
dhewm3-1.5.4-r0.apk                                17-Feb-2025 12:07             5199476
diceware-1.0.1-r0.apk                              13-Jan-2025 22:49              342037
diceware-pyc-1.0.1-r0.apk                          13-Jan-2025 22:49               18488
disfetch-3.7-r0.apk                                25-Oct-2024 20:06                8493
diskus-0.8.0-r0.apk                                18-May-2025 22:15              366158
dislocker-0.7.3-r5.apk                             25-Oct-2024 20:06               12716
dislocker-doc-0.7.3-r5.apk                         25-Oct-2024 20:06                6212
dislocker-libs-0.7.3-r5.apk                        25-Oct-2024 20:06               45878
dive-0.13.0-r2.apk                                 14-May-2025 21:13             3984083
dlib-19.24.4-r0.apk                                25-Oct-2024 20:06              773319
dlib-dev-19.24.4-r0.apk                            25-Oct-2024 20:06             2546819
dmarc-cat-0.15.0-r5.apk                            14-May-2025 21:13             2865299
dmarc-metrics-exporter-1.2.0-r0.apk                29-Nov-2024 22:04               25880
dmarc-metrics-exporter-openrc-1.2.0-r0.apk         29-Nov-2024 22:04                1898
dmarc-metrics-exporter-pyc-1.2.0-r0.apk            29-Nov-2024 22:04               47577
dnscontrol-4.20.0-r0.apk                           14-May-2025 21:13            15102163
dnscontrol-doc-4.20.0-r0.apk                       14-May-2025 21:13                2345
dnscrypt-wrapper-0.4.2-r3.apk                      25-Oct-2024 20:06               29929
dnsenum-1.3.2-r0.apk                               25-Oct-2024 20:06               21738
dnsenum-doc-1.3.2-r0.apk                           25-Oct-2024 20:06                5365
dnsperf-2.14.0-r0.apk                              25-Oct-2024 20:06               80881
dnsperf-doc-2.14.0-r0.apk                          25-Oct-2024 20:06               35758
dnssec-tools-2.2.3-r12.apk                         25-Oct-2024 20:06              766303
dnssec-tools-dev-2.2.3-r12.apk                     25-Oct-2024 20:06              185568
dnssec-tools-doc-2.2.3-r12.apk                     25-Oct-2024 20:06              322980
doasedit-1.0.7-r0.apk                              25-Oct-2024 20:06                3644
docker-auth-1.13.0-r4.apk                          14-May-2025 21:13            10111315
docker-auth-doc-1.13.0-r4.apk                      14-May-2025 21:13               10677
docker-auth-openrc-1.13.0-r4.apk                   14-May-2025 21:13                2118
docker-volume-local-persist-1.3.0-r33.apk          14-May-2025 21:13             2635849
docker-volume-local-persist-openrc-1.3.0-r33.apk   14-May-2025 21:13                1830
dockerize-0.9.3-r1.apk                             14-May-2025 21:13             3478635
dooit-3.2.2-r0.apk                                 16-May-2025 07:14               45910
dooit-extras-0.2.0-r0.apk                          07-Dec-2024 20:22               13038
dooit-extras-pyc-0.2.0-r0.apk                      07-Dec-2024 20:22               23338
dooit-pyc-3.2.2-r0.apk                             16-May-2025 07:14              102752
dotenv-linter-3.3.0-r1.apk                         25-Oct-2024 20:06              840758
downloader-cli-0.3.4-r2.apk                        14-May-2025 21:13                2024
draco-1.5.7-r2.apk                                 17-Feb-2025 12:07              798623
draco-dev-1.5.7-r2.apk                             17-Feb-2025 12:07              209623
draco-static-1.5.7-r2.apk                          17-Feb-2025 12:07             1712431
draco-tools-1.5.7-r2.apk                           17-Feb-2025 12:07             1239762
draw-0.1.1-r13.apk                                 14-May-2025 21:13              981548
drogon-1.9.4-r2.apk                                22-May-2025 06:53             1476743
drogon-dev-1.9.4-r2.apk                            22-May-2025 06:53              124260
drogon-doc-1.9.4-r2.apk                            22-May-2025 06:53                2324
droidcam-2.1.3-r1.apk                              08-Nov-2024 21:25               18572
droidcam-gui-2.1.3-r1.apk                          08-Nov-2024 21:25               27966
drone-cli-1.8.0-r10.apk                            14-May-2025 21:13             5783030
dropwatch-1.5.4-r7.apk                             10-Feb-2025 23:18               15423
dropwatch-doc-1.5.4-r7.apk                         10-Feb-2025 23:18                3730
drumgizmo-0.9.20-r1.apk                            25-Oct-2024 20:06              388338
drupal7-7.103-r0.apk                               04-Dec-2024 17:28             3443839
drupal7-doc-7.103-r0.apk                           04-Dec-2024 17:28               58840
dsp-2.0-r0.apk                                     14-May-2025 21:13              157221
dsp-doc-2.0-r0.apk                                 14-May-2025 21:13               10374
dstask-0.26-r14.apk                                14-May-2025 21:13             1445945
dstask-bash-completion-0.26-r14.apk                14-May-2025 21:13                2168
dstask-import-0.26-r14.apk                         14-May-2025 21:13             3522204
dstask-zsh-completion-0.26-r14.apk                 14-May-2025 21:13                1707
dublin-traceroute-0.4.2-r4.apk                     25-Oct-2024 20:06               45781
dublin-traceroute-contrib-0.4.2-r4.apk             25-Oct-2024 20:06                2930
dublin-traceroute-dev-0.4.2-r4.apk                 25-Oct-2024 20:06                7055
dublin-traceroute-doc-0.4.2-r4.apk                 25-Oct-2024 20:06                2357
duc-1.4.5-r0.apk                                   25-Oct-2024 20:06               88407
duc-doc-1.4.5-r0.apk                               25-Oct-2024 20:06                9278
duf-0.8.1-r26.apk                                  14-May-2025 21:13             1092321
dufs-0.43.0-r0.apk                                 25-Jan-2025 07:03             1508180
dufs-bash-completion-0.43.0-r0.apk                 25-Jan-2025 07:03                2321
dufs-doc-0.43.0-r0.apk                             25-Jan-2025 07:03               10701
dufs-fish-completion-0.43.0-r0.apk                 25-Jan-2025 07:03                2408
dufs-zsh-completion-0.43.0-r0.apk                  25-Jan-2025 07:03                2720
dulcepan-1.0.2-r0.apk                              25-Oct-2024 20:06               20239
dum-0.1.20-r1.apk                                  29-Mar-2025 14:14              365215
dune-deps-1.3.0-r2.apk                             25-Oct-2024 20:06              814924
dustracing2d-2.1.1-r1.apk                          25-Oct-2024 20:06             5335088
dvdbackup-0.4.2-r1.apk                             25-Oct-2024 20:06               17494
dvdbackup-doc-0.4.2-r1.apk                         25-Oct-2024 20:06                7763
dvdbackup-lang-0.4.2-r1.apk                        25-Oct-2024 20:06                1460
dvisvgm-3.4.4-r0.apk                               18-May-2025 22:44             1107499
dvisvgm-doc-3.4.4-r0.apk                           18-May-2025 22:44               26706
dwl-0.7-r0.apk                                     25-Oct-2024 20:06               26288
dwl-doc-0.7-r0.apk                                 25-Oct-2024 20:06                3202
e16-1.0.30-r0.apk                                  05-Nov-2024 13:22              791788
e16-doc-1.0.30-r0.apk                              05-Nov-2024 13:22               27903
e16-lang-1.0.30-r0.apk                             05-Nov-2024 13:22              388741
eatmemory-0.1.6-r2.apk                             25-Oct-2024 20:06                4326
eboard-1.1.3-r1.apk                                25-Oct-2024 20:06             1444013
eboard-doc-1.1.3-r1.apk                            25-Oct-2024 20:06                4747
ecasound-2.9.3-r4.apk                              25-Feb-2025 10:17              668447
ecasound-dev-2.9.3-r4.apk                          25-Feb-2025 10:17             1224008
ecasound-doc-2.9.3-r4.apk                          25-Feb-2025 10:17               39374
eclib-20250122-r1.apk                              05-May-2025 01:12              294181
eclib-dev-20250122-r1.apk                          05-May-2025 01:12               96287
eclib-doc-20250122-r1.apk                          05-May-2025 01:12               28624
eclib-libs-20250122-r1.apk                         05-May-2025 01:12             1163460
eclib-static-20250122-r1.apk                       05-May-2025 01:12            20825143
ecos-2.0.10-r0.apk                                 25-Oct-2024 20:06               38843
ecos-dev-2.0.10-r0.apk                             25-Oct-2024 20:06               28592
edward-1.1.0-r0.apk                                25-Oct-2024 20:06             1946732
edward-doc-1.1.0-r0.apk                            25-Oct-2024 20:06                5400
efl-1.28.1-r2.apk                                  23-Mar-2025 15:03            35542235
efl-dev-1.28.1-r2.apk                              23-Mar-2025 15:03             1908933
efl-gdb-1.28.1-r2.apk                              23-Mar-2025 15:03                1730
eiwd-3.8-r0.apk                                    14-May-2025 21:13              797836
eiwd-doc-3.8-r0.apk                                14-May-2025 21:13               20819
eiwd-openrc-3.8-r0.apk                             14-May-2025 21:13                1929
elementary-calculator-8.0.0-r0.apk                 28-Oct-2024 22:05               70306
elementary-calculator-lang-8.0.0-r0.apk            28-Oct-2024 22:05               58675
elementary-camera-8.0.1-r0.apk                     14-May-2025 21:13               85804
elementary-camera-lang-8.0.1-r0.apk                14-May-2025 21:13               34957
elementary-dock-8.0.2-r0.apk                       24-May-2025 22:57               85647
elementary-dock-lang-8.0.2-r0.apk                  24-May-2025 22:57               28217
elementary-feedback-8.0.1-r0.apk                   14-May-2025 21:13               45330
elementary-feedback-lang-8.0.1-r0.apk              14-May-2025 21:13               47603
elementary-icon-theme-8.1.0-r0.apk                 14-May-2025 21:13             5293675
elementary-music-8.0.0-r0.apk                      28-Oct-2024 22:05               73161
elementary-music-lang-8.0.0-r0.apk                 28-Oct-2024 22:05               48624
elementary-photos-8.0.1-r0.apk                     02-Dec-2024 22:59             1155386
elementary-photos-lang-8.0.1-r0.apk                02-Dec-2024 22:59             1052065
elementary-sound-theme-1.1.0-r0.apk                10-Nov-2024 22:07               85067
elementary-theme-8.1.0-r0.apk                      12-Jan-2025 20:36             1571154
elementary-videos-8.0.1-r0.apk                     10-Nov-2024 22:06              108993
elementary-videos-lang-8.0.1-r0.apk                10-Nov-2024 22:06               87525
elf_diff-0.7.1-r3.apk                              25-Oct-2024 20:06              110876
elf_diff-pyc-0.7.1-r3.apk                          25-Oct-2024 20:06              110557
elfio-3.12-r0.apk                                  25-Oct-2024 20:06                1431
elfio-dev-3.12-r0.apk                              25-Oct-2024 20:06               56300
eludris-0.3.3-r1.apk                               25-Oct-2024 20:06             1810588
eludris-doc-0.3.3-r1.apk                           25-Oct-2024 20:06                2345
emacs-ace-window-0.10.0_git20220911-r0.apk         25-Oct-2024 20:06               23299
emacs-avy-0.5.0_git20230420-r0.apk                 25-Oct-2024 20:06               44461
emacs-avy-embark-collect-1.1-r0.apk                23-Apr-2025 05:42                3914
emacs-centaur-tabs-3.2_git20230601-r0.apk          25-Oct-2024 20:06               56374
emacs-closql-1.2.1_git20240712-r0.apk              25-Oct-2024 20:06               14714
emacs-consult-1.4_git20240405-r0.apk               25-Oct-2024 20:06              141063
emacs-derl-0_git20231004-r0.apk                    25-Oct-2024 20:06               24044
emacs-elfeed-3.4.2-r0.apk                          23-Apr-2025 05:13               92728
emacs-emacsql-3.1.1_git20240714-r0.apk             25-Oct-2024 20:06               23138
emacs-emacsql-mysql-3.1.1_git20240714-r0.apk       25-Oct-2024 20:06                6206
emacs-emacsql-psql-3.1.1_git20240714-r0.apk        25-Oct-2024 20:06                5991
emacs-emacsql-sqlite-3.1.1_git20240714-r0.apk      25-Oct-2024 20:06               17591
emacs-emacsql-sqlite-module-3.1.1_git20240714-r..> 25-Oct-2024 20:06                4396
emacs-embark-1.1-r0.apk                            23-Apr-2025 05:42              113563
emacs-embark-consult-1.1-r0.apk                    23-Apr-2025 05:42               10659
emacs-ement-0.16-r0.apk                            23-Apr-2025 05:13              297682
emacs-epkg-3.3.3_git20240713-r0.apk                25-Oct-2024 20:06               37705
emacs-fossil-0_git20230504-r0.apk                  25-Oct-2024 20:06               14980
emacs-gnosis-0.3.2-r0.apk                          25-Oct-2024 20:06               63633
emacs-hackernews-0.7.0-r0.apk                      25-Oct-2024 20:06               15879
emacs-helm-3.9.7_git20240329-r0.apk                25-Oct-2024 20:06              834711
emacs-hnreader-0_git20221116-r0.apk                25-Oct-2024 20:06                9987
emacs-hydra-0.15.0_git20220910-r0.apk              25-Oct-2024 20:06               47041
emacs-llama-0.3.1_git20240722-r0.apk               25-Oct-2024 20:06               10170
emacs-lsp-booster-0.2.1-r0.apk                     12-Apr-2025 12:21              434770
emacs-lsp-booster-doc-0.2.1-r0.apk                 12-Apr-2025 12:21                2324
emacs-persist-0.6_git20240114-r0.apk               25-Oct-2024 20:06                6767
emacs-powerline-2.4_git20221110-r0.apk             25-Oct-2024 20:06               29589
emacs-sqlite3-api-0.18-r0.apk                      25-Oct-2024 20:06               18711
emacs-svg-lib-0_git20240219-r0.apk                 25-Oct-2024 20:06               19424
emacs-taxy-0.10.2-r0.apk                           23-Apr-2025 05:42               11740
emacs-taxy-magit-section-0.14.3-r0.apk             23-Apr-2025 05:42               18111
emacs-total-recall-0_git20250426-r0.apk            30-Apr-2025 08:17               18020
emacs-total-recall-examples-0_git20250426-r0.apk   30-Apr-2025 08:17               14263
empede-0.2.3-r0.apk                                25-Oct-2024 20:06             1767453
empede-doc-0.2.3-r0.apk                            25-Oct-2024 20:06                2319
empede-openrc-0.2.3-r0.apk                         25-Oct-2024 20:06                1945
emulationstation-2.11.2-r1.apk                     11-Mar-2025 17:54             1262375
emulationstation-theme-gbz35-2.11.2-r1.apk         11-Mar-2025 17:54             3406504
endeavour-43.0-r2.apk                              08-Dec-2024 21:40              181813
endeavour-dev-43.0-r2.apk                          08-Dec-2024 21:40               46679
endeavour-doc-43.0-r2.apk                          08-Dec-2024 21:40               69703
endeavour-lang-43.0-r2.apk                         08-Dec-2024 21:40              208017
endless-sky-0.10.2-r0.apk                          25-Oct-2024 20:06           253942226
endless-sky-doc-0.10.2-r0.apk                      25-Oct-2024 20:06               37386
endlessh-1.1-r1.apk                                25-May-2025 07:49                9646
endlessh-doc-1.1-r1.apk                            25-May-2025 07:49                2726
enjoy-0.3-r1.apk                                   25-Oct-2024 20:06               10795
enlighten-0.9.2-r1.apk                             25-Oct-2024 20:06                7453
enlighten-doc-0.9.2-r1.apk                         25-Oct-2024 20:06                3594
envconsul-0.13.3-r3.apk                            14-May-2025 21:13             4973268
envsubst-0.1-r1.apk                                25-Oct-2024 20:06                4264
epoch-1.3.0-r2.apk                                 25-Oct-2024 20:06               62059
epr-2.4.15-r1.apk                                  25-Oct-2024 20:06               16076
epr-pyc-2.4.15-r1.apk                              25-Oct-2024 20:06               25006
ergo-ldap-0.0.1-r17.apk                            14-May-2025 21:13             2287275
ergo-ldap-doc-0.0.1-r17.apk                        14-May-2025 21:13                2341
errands-46.2.8-r0.apk                              22-May-2025 14:31               86081
errands-lang-46.2.8-r0.apk                         22-May-2025 14:31               72890
espeakup-0.90-r2.apk                               25-Oct-2024 20:06               11113
espeakup-openrc-0.90-r2.apk                        25-Oct-2024 20:06                1832
esptool-4.8.1-r0.apk                               25-Oct-2024 20:06              434187
esptool-pyc-4.8.1-r0.apk                           25-Oct-2024 20:06              562523
ettercap-0.8.3.1-r3.apk                            25-Oct-2024 20:06              573733
ettercap-doc-0.8.3.1-r3.apk                        25-Oct-2024 20:06               46208
eva-0.3.1-r2.apk                                   25-Oct-2024 20:06              637478
evolution-on-3.24.4-r0.apk                         30-Oct-2024 14:24               10434
exabgp-4.2.24-r0.apk                               15-Mar-2025 22:11              393865
exabgp-doc-4.2.24-r0.apk                           15-Mar-2025 22:11                8236
exabgp-openrc-4.2.24-r0.apk                        15-Mar-2025 22:11                2243
exabgp-pyc-4.2.24-r0.apk                           15-Mar-2025 22:11              796896
exercism-3.2.0-r13.apk                             14-May-2025 21:13             4212373
exercism-bash-completion-3.2.0-r13.apk             14-May-2025 21:13                2016
exercism-fish-completion-3.2.0-r13.apk             14-May-2025 21:13                2422
exercism-zsh-completion-3.2.0-r13.apk              14-May-2025 21:13                2163
extrace-0.9-r0.apk                                 25-Oct-2024 20:06               10588
extrace-doc-0.9-r0.apk                             25-Oct-2024 20:06                3600
extremetuxracer-0.8.3-r0.apk                       25-Oct-2024 20:06            41445774
extremetuxracer-doc-0.8.3-r0.apk                   25-Oct-2024 20:06                6837
extundelete-0.2.4-r1.apk                           25-Oct-2024 20:06               42622
f_scripts-0.6-r1.apk                               25-Oct-2024 20:06                1482
f_scripts-f_audio-0.6-r1.apk                       25-Oct-2024 20:06                3417
f_scripts-f_files-0.6-r1.apk                       25-Oct-2024 20:06                2994
f_scripts-f_game-0.6-r1.apk                        25-Oct-2024 20:06                1947
f_scripts-f_maps-0.6-r1.apk                        25-Oct-2024 20:06                2163
f_scripts-f_networks-0.6-r1.apk                    25-Oct-2024 20:06                3074
f_scripts-f_phone-0.6-r1.apk                       25-Oct-2024 20:06                6139
f_scripts-f_rss-0.6-r1.apk                         25-Oct-2024 20:06                2595
f_scripts-f_theme-0.6-r1.apk                       25-Oct-2024 20:06                2626
f_scripts-f_timer-0.6-r1.apk                       25-Oct-2024 20:06                2440
f_scripts-f_web-0.6-r1.apk                         25-Oct-2024 20:06                2965
f_scripts-f_youtube-0.6-r1.apk                     25-Oct-2024 20:06                2870
fabric-3.2.2-r1.apk                                25-Oct-2024 20:06               55946
fabric-pyc-3.2.2-r1.apk                            25-Oct-2024 20:06               61590
fakeroot-tcp-1.32.1-r1.apk                         25-Oct-2024 20:06               30329
fastd-23-r0.apk                                    27-Jan-2025 21:33               72420
fastd-doc-23-r0.apk                                27-Jan-2025 21:33                3357
fastd-openrc-23-r0.apk                             27-Jan-2025 21:33                1739
fatback-1.3-r2.apk                                 25-Oct-2024 20:06               27711
fatback-doc-1.3-r2.apk                             25-Oct-2024 20:06               16469
fathom-1.3.1-r13.apk                               14-May-2025 21:13             4939682
fatrace-0.17.0-r0.apk                              25-Oct-2024 20:06               10653
fatrace-doc-0.17.0-r0.apk                          25-Oct-2024 20:06                3357
fatresize-1.1.0-r1.apk                             25-Oct-2024 20:06                9149
fatresize-doc-1.1.0-r1.apk                         25-Oct-2024 20:06               15562
faultstat-0.01.11-r0.apk                           25-Oct-2024 20:06               13482
faultstat-bash-completion-0.01.11-r0.apk           25-Oct-2024 20:06                2330
faultstat-doc-0.01.11-r0.apk                       25-Oct-2024 20:06                3084
faust-2.60.3-r2.apk                                25-Oct-2024 20:06             8405175
faust-dev-2.60.3-r2.apk                            25-Oct-2024 20:06              788992
faust-doc-2.60.3-r2.apk                            25-Oct-2024 20:06            17500787
faust-static-2.60.3-r2.apk                         25-Oct-2024 20:06              555279
faust-tools-2.60.3-r2.apk                          25-Oct-2024 20:06              121615
faust-vim-2.60.3-r2.apk                            25-Oct-2024 20:06                2660
fava-1.28-r0.apk                                   25-Oct-2024 20:06             1125067
fava-pyc-1.28-r0.apk                               25-Oct-2024 20:06              168039
fbcur-1.0.1-r1.apk                                 25-Oct-2024 20:06                4450
fbcur-doc-1.0.1-r1.apk                             25-Oct-2024 20:06                2218
fceux-2.6.6-r2.apk                                 25-Oct-2024 20:06             2997055
fceux-doc-2.6.6-r2.apk                             25-Oct-2024 20:06              108368
fdm-materials-5.2.2-r1.apk                         25-Oct-2024 20:06               61097
featherpad-1.5.1-r0.apk                            25-Oct-2024 20:06              698396
featherpad-lang-1.5.1-r0.apk                       25-Oct-2024 20:06              473863
felix-2.16.1-r0.apk                                16-May-2025 08:31              695081
fff-2.2-r0.apk                                     25-Oct-2024 20:06               10995
fff-doc-2.2-r0.apk                                 25-Oct-2024 20:06                9203
fflas-ffpack-2.5.0-r3.apk                          25-Oct-2024 20:06              353619
ffms2-5.0-r0.apk                                   25-Oct-2024 20:06               73274
ffms2-dev-5.0-r0.apk                               25-Oct-2024 20:06                7790
ffms2-doc-5.0-r0.apk                               25-Oct-2024 20:06               31002
ffsend-0.2.76-r4.apk                               25-Oct-2024 20:06             1621148
ffsend-bash-completion-0.2.76-r4.apk               25-Oct-2024 20:06                3676
ffsend-fish-completion-0.2.76-r4.apk               25-Oct-2024 20:06                3634
ffsend-zsh-completion-0.2.76-r4.apk                25-Oct-2024 20:06                4649
fheroes2-1.1.8-r0.apk                              05-May-2025 01:12             1709584
fheroes2-lang-1.1.8-r0.apk                         05-May-2025 01:12             1792494
fildesh-0.2.0-r0.apk                               25-Oct-2024 20:06               65998
fildesh-doc-0.2.0-r0.apk                           25-Oct-2024 20:06                2149
fildesh-vim-0.2.0-r0.apk                           25-Oct-2024 20:06                3632
filebrowser-2.27.0-r11.apk                         14-May-2025 21:13             7657097
filebrowser-openrc-2.27.0-r11.apk                  14-May-2025 21:13                1872
fileshelter-6.2.0-r3.apk                           25-Feb-2025 10:17              309534
fileshelter-openrc-6.2.0-r3.apk                    25-Feb-2025 10:17                1680
filite-0.3.0-r2.apk                                25-Oct-2024 20:06             1182164
findtow-0.1-r0.apk                                 25-Oct-2024 20:06                4582
finger-0.5-r0.apk                                  25-Oct-2024 20:06                6552
finger-doc-0.5-r0.apk                              25-Oct-2024 20:06                3865
firehol-3.1.7-r2.apk                               25-Oct-2024 20:06               86788
firehol-doc-3.1.7-r2.apk                           25-Oct-2024 20:06              690785
firehol-openrc-3.1.7-r2.apk                        25-Oct-2024 20:06                2102
flamelens-0.3.1-r0.apk                             29-Apr-2025 19:46             1247538
flamelens-doc-0.3.1-r0.apk                         29-Apr-2025 19:46                3750
flann-1.9.2-r1.apk                                 17-Feb-2025 12:07             1607107
flann-dev-1.9.2-r1.apk                             17-Feb-2025 12:07             1400947
flann-doc-1.9.2-r1.apk                             17-Feb-2025 12:07                2595
flare-engine-1.14-r0.apk                           25-Oct-2024 20:06             4624640
flare-engine-doc-1.14-r0.apk                       25-Oct-2024 20:06                2529
flare-game-1.14-r0.apk                             25-Oct-2024 20:06                2242
flatpak-xdg-utils-1.0.6-r0.apk                     25-Oct-2024 20:06               19426
flauschige-uhr-0.1-r1.apk                          25-Oct-2024 20:06                4425
flawz-0.3.0-r0.apk                                 03-Nov-2024 21:06             1286474
flawz-bash-completion-0.3.0-r0.apk                 03-Nov-2024 21:06                2169
flawz-doc-0.3.0-r0.apk                             03-Nov-2024 21:06                6132
flawz-fish-completion-0.3.0-r0.apk                 03-Nov-2024 21:06                1955
flawz-zsh-completion-0.3.0-r0.apk                  03-Nov-2024 21:06                2280
flint-3.2.2-r0.apk                                 05-May-2025 01:12             3983992
flint-dev-3.2.2-r0.apk                             05-May-2025 01:12              315704
flintqs-1.0-r1.apk                                 25-Oct-2024 20:06               22984
flowd-0.9.1-r10.apk                                25-Oct-2024 20:06               85836
flowd-dev-0.9.1-r10.apk                            25-Oct-2024 20:06                8502
flowd-doc-0.9.1-r10.apk                            25-Oct-2024 20:06               10342
flowd-openrc-0.9.1-r10.apk                         25-Oct-2024 20:06                1917
fnf-0.1-r0.apk                                     25-Oct-2024 20:06               15372
fnf-doc-0.1-r0.apk                                 25-Oct-2024 20:06                4680
foma-0.10.0_git20240712-r0.apk                     25-Oct-2024 20:06              313849
foma-dev-0.10.0_git20240712-r0.apk                 25-Oct-2024 20:06                8674
font-anonymous-pro-1.002-r2.apk                    25-Oct-2024 20:06              270824
font-aref-ruqaa-1.006-r0.apk                       12-Apr-2025 12:25              365885
font-babelstone-han-15.1.3-r0.apk                  25-Oct-2024 20:06            19204345
font-cascadia-2407.24-r1.apk                       27-May-2025 14:36                1295
font-cascadia-code-2407.24-r1.apk                  27-May-2025 14:36              538789
font-cascadia-mono-2407.24-r1.apk                  27-May-2025 14:36              519002
font-chivo-0_git20221110-r0.apk                    25-Oct-2024 20:06              811371
font-chivo-mono-0_git20221110-r0.apk               25-Oct-2024 20:06              640886
font-comic-neue-2.51-r0.apk                        25-Oct-2024 20:06              254741
font-comic-neue-doc-2.51-r0.apk                    25-Oct-2024 20:06             1028161
font-commit-mono-1.143-r0.apk                      25-Oct-2024 20:06              257283
font-cousine-0_git20210228-r0.apk                  25-Oct-2024 20:06              112601
font-fantasque-sans-1.8.0-r0.apk                   25-Oct-2024 20:06                1260
font-fantasque-sans-doc-1.8.0-r0.apk               25-Oct-2024 20:06                5670
font-fantasque-sans-largelineheight-1.8.0-r0.apk   25-Oct-2024 20:06              323353
font-fantasque-sans-largelineheightnoloopk-1.8...> 25-Oct-2024 20:06              323365
font-fantasque-sans-noloopk-1.8.0-r0.apk           25-Oct-2024 20:06              323359
font-fantasque-sans-normal-1.8.0-r0.apk            25-Oct-2024 20:06              323352
font-fira-code-6.2-r0.apk                          25-Oct-2024 20:06              856068
font-fira-code-vf-6.2-r0.apk                       25-Oct-2024 20:06              148544
font-firamath-0.3.4-r0.apk                         25-Oct-2024 20:06              121164
font-fontawesome-4-4.7.0-r3.apk                    25-Oct-2024 20:06              209932
font-hanazono-20170904-r1.apk                      25-Oct-2024 20:06            30352520
font-intel-one-mono-1.3.0-r0.apk                   25-Oct-2024 20:06              288178
font-katex-0.16.2-r0.apk                           25-Oct-2024 20:06              871998
font-material-icons-4.0.0-r0.apk                   25-Oct-2024 20:06              667498
font-monaspace-1.101-r0.apk                        25-Oct-2024 20:06                1491
font-monaspace-argon-1.101-r0.apk                  25-Oct-2024 20:06             2315696
font-monaspace-krypton-1.101-r0.apk                25-Oct-2024 20:06             2169081
font-monaspace-neon-1.101-r0.apk                   25-Oct-2024 20:06             2239073
font-monaspace-radon-1.101-r0.apk                  25-Oct-2024 20:06             2878217
font-monaspace-xenon-1.101-r0.apk                  25-Oct-2024 20:06             2452475
font-monocraft-4.0-r0.apk                          25-Oct-2024 20:06              692993
font-openmoji-15.1.0-r0.apk                        27-May-2025 08:43             3750371
font-siji-20190218_git-r2.apk                      25-Oct-2024 20:06               25082
font-stix-otf-2.13-r0.apk                          25-Oct-2024 20:06             2139944
font-stix-ttf-2.13-r0.apk                          25-Oct-2024 20:06              440354
font-tamzen-1.11.5-r1.apk                          25-Oct-2024 20:06               63349
font-tinos-0_git20210228-r0.apk                    25-Oct-2024 20:06              203424
font-tiresias-0_git20200704-r0.apk                 25-Oct-2024 20:06              581904
font-tiresias-doc-0_git20200704-r0.apk             25-Oct-2024 20:06               59547
foolsm-1.0.21-r0.apk                               25-Oct-2024 20:06               33758
foolsm-doc-1.0.21-r0.apk                           25-Oct-2024 20:06                3946
foolsm-openrc-1.0.21-r0.apk                        25-Oct-2024 20:06                1586
fpc-3.2.2-r4.apk                                   25-Oct-2024 20:06            71848486
fpc-doc-3.2.2-r4.apk                               25-Oct-2024 20:06             1301577
fpc-stage0-3.2.2-r3.apk                            25-Oct-2024 20:06             6469010
fplll-5.5.0-r0.apk                                 19-Nov-2024 22:34               47613
fplll-dev-5.5.0-r0.apk                             19-Nov-2024 22:34               79835
fplll-libs-5.5.0-r0.apk                            19-Nov-2024 22:34             6433742
fplll-static-5.5.0-r0.apk                          19-Nov-2024 22:34             8224254
fplll-strategies-5.5.0-r0.apk                      19-Nov-2024 22:34             1792043
fpp-0.9.5-r0.apk                                   25-Oct-2024 20:06               29974
fpp-doc-0.9.5-r0.apk                               25-Oct-2024 20:06                5730
fq-0.13.0-r5.apk                                   14-May-2025 21:13             4609241
freealut-1.1.0-r1.apk                              25-Oct-2024 20:06               17137
freealut-dev-1.1.0-r1.apk                          25-Oct-2024 20:06               22348
freediameter-1.5.0-r1.apk                          25-Oct-2024 20:06                9809
freediameter-dev-1.5.0-r1.apk                      25-Oct-2024 20:06               55079
freediameter-extensions-1.5.0-r1.apk               25-Oct-2024 20:06              460771
freediameter-libfdcore-1.5.0-r1.apk                25-Oct-2024 20:06              199938
freediameter-libfdproto-1.5.0-r1.apk               25-Oct-2024 20:06              106900
frescobaldi-3.3.0-r1.apk                           25-Oct-2024 20:06             3645971
frescobaldi-doc-3.3.0-r1.apk                       25-Oct-2024 20:06                2539
frescobaldi-pyc-3.3.0-r1.apk                       25-Oct-2024 20:06             1253333
freshrss-1.23.1-r1.apk                             25-Oct-2024 20:06             1594222
freshrss-doc-1.23.1-r1.apk                         25-Oct-2024 20:06              769255
freshrss-lang-1.23.1-r1.apk                        25-Oct-2024 20:06              388331
freshrss-mysql-1.23.1-r1.apk                       25-Oct-2024 20:06                1470
freshrss-openrc-1.23.1-r1.apk                      25-Oct-2024 20:06                2558
freshrss-pgsql-1.23.1-r1.apk                       25-Oct-2024 20:06                1472
freshrss-sqlite-1.23.1-r1.apk                      25-Oct-2024 20:06                1475
freshrss-themes-1.23.1-r1.apk                      25-Oct-2024 20:06             1575976
fulcrum-1.9.8-r1.apk                               25-Oct-2024 20:06              875027
fulcrum-admin-1.9.8-r1.apk                         25-Oct-2024 20:06                8104
fulcrum-doc-1.9.8-r1.apk                           25-Oct-2024 20:06               22135
fungw-1.2.1-r0.apk                                 30-Dec-2024 09:48               12408
fungw-c-1.2.1-r0.apk                               30-Dec-2024 09:48                7824
fungw-cli-1.2.1-r0.apk                             30-Dec-2024 09:48               22090
fungw-dev-1.2.1-r0.apk                             30-Dec-2024 09:48                7753
fungw-doc-1.2.1-r0.apk                             30-Dec-2024 09:48               13203
fungw-duktape-1.2.1-r0.apk                         30-Dec-2024 09:48               15439
fungw-fawk-1.2.1-r0.apk                            30-Dec-2024 09:48              106440
fungw-lua-1.2.1-r0.apk                             30-Dec-2024 09:48               13237
fungw-mujs-1.2.1-r0.apk                            30-Dec-2024 09:48               14757
fungw-perl-1.2.1-r0.apk                            30-Dec-2024 09:48               47346
fungw-python3-1.2.1-r0.apk                         30-Dec-2024 09:48               25246
fungw-tcl-1.2.1-r0.apk                             30-Dec-2024 09:48               12436
fusee-nano-0.5.3-r1.apk                            25-Oct-2024 20:06               20994
fusee-nano-udev-0.5.3-r1.apk                       25-Oct-2024 20:06                1744
fuseiso-20070708-r0.apk                            14-Apr-2025 01:12               16381
fuseiso-doc-20070708-r0.apk                        14-Apr-2025 01:12                2648
fusesoc-2.3-r0.apk                                 25-Oct-2024 20:06               47416
fusesoc-pyc-2.3-r0.apk                             25-Oct-2024 20:06               91405
fxfloorboard-katana-mk2-20240515-r1.apk            25-Oct-2024 20:06             5804644
fxfloorboard-katana-mk2-doc-20240515-r1.apk        25-Oct-2024 20:06             1138484
fzy-1.0-r4.apk                                     27-May-2025 11:07               12521
fzy-doc-1.0-r4.apk                                 27-May-2025 11:07                3734
gambit-4.9.5-r1.apk                                07-Apr-2025 10:33             7812299
gambit-dev-4.9.5-r1.apk                            07-Apr-2025 10:33             6963248
gambit-doc-4.9.5-r1.apk                            07-Apr-2025 10:33                4437
game-devices-udev-0.23-r0.apk                      12-Nov-2024 10:58                6311
gamemode-1.8.2-r0.apk                              05-Feb-2025 23:21               74414
gamemode-dev-1.8.2-r0.apk                          05-Feb-2025 23:21                5225
gamemode-doc-1.8.2-r0.apk                          05-Feb-2025 23:21                7724
gamja-1.0.0_beta9-r0.apk                           25-Oct-2024 20:06              613552
gamja-doc-1.0.0_beta9-r0.apk                       25-Oct-2024 20:06                2268
gammastep-2.0.9-r3.apk                             25-Oct-2024 20:06               91077
gammastep-doc-2.0.9-r3.apk                         25-Oct-2024 20:06               14397
gammastep-lang-2.0.9-r3.apk                        25-Oct-2024 20:06               79395
gammastep-pyc-2.0.9-r3.apk                         25-Oct-2024 20:06               17281
gatling-0.16-r6.apk                                25-Oct-2024 20:06              154627
gatling-doc-0.16-r6.apk                            25-Oct-2024 20:06                9295
gatling-openrc-0.16-r6.apk                         25-Oct-2024 20:06                2848
gaupol-1.12-r2.apk                                 25-Oct-2024 20:06              282801
gaupol-doc-1.12-r2.apk                             25-Oct-2024 20:06                2428
gaupol-lang-1.12-r2.apk                            25-Oct-2024 20:06              283324
gaupol-pyc-1.12-r2.apk                             25-Oct-2024 20:06              429304
gb-0.4.4-r31.apk                                   14-May-2025 21:13             7287690
gcli-2.6.1-r0.apk                                  26-Jan-2025 17:29              119926
gcli-doc-2.6.1-r0.apk                              26-Jan-2025 17:29               28915
gdb-dashboard-0.17.4-r0.apk                        01-Mar-2025 21:53               23258
gdb-dashboard-doc-0.17.4-r0.apk                    01-Mar-2025 21:53                3098
gearman-dev-1.1.21-r2.apk                          22-May-2025 06:53             1032827
gearman-libs-1.1.21-r2.apk                         22-May-2025 06:53               72373
gearmand-1.1.21-r2.apk                             22-May-2025 06:53              168025
gearmand-doc-1.1.21-r2.apk                         22-May-2025 06:53              190629
gearmand-openrc-1.1.21-r2.apk                      22-May-2025 06:53                1855
gede-2.18.2-r1.apk                                 25-Oct-2024 20:06              260498
genact-1.4.2-r0.apk                                25-Oct-2024 20:06             1423555
geoclue-stumbler-0.2.0-r0.apk                      31-Dec-2024 12:56               27345
geodns-3.3.0-r13.apk                               14-May-2025 21:13             4957800
geodns-logs-3.3.0-r13.apk                          14-May-2025 21:13             4525291
geodns-openrc-3.3.0-r13.apk                        14-May-2025 21:13                1828
geomyidae-0.34-r2.apk                              25-Oct-2024 20:06               13819
geomyidae-doc-0.34-r2.apk                          25-Oct-2024 20:06                7836
geomyidae-openrc-0.34-r2.apk                       25-Oct-2024 20:06                2040
geonames-0.3.1-r2.apk                              25-Oct-2024 20:06              846354
geonames-dev-0.3.1-r2.apk                          25-Oct-2024 20:06                3091
geonames-doc-0.3.1-r2.apk                          25-Oct-2024 20:06               12900
geonames-lang-0.3.1-r2.apk                         25-Oct-2024 20:06             4801103
getmail6-6.19.08-r0.apk                            15-May-2025 10:05               72388
getmail6-doc-6.19.08-r0.apk                        15-May-2025 10:05              141598
getmail6-pyc-6.19.08-r0.apk                        15-May-2025 10:05              106792
getssl-2.48-r0.apk                                 25-Oct-2024 20:06               84140
getting-things-gnome-0.6-r4.apk                    08-Dec-2024 21:40              732081
getting-things-gnome-doc-0.6-r4.apk                08-Dec-2024 21:40              509332
getting-things-gnome-lang-0.6-r4.apk               08-Dec-2024 21:40              234205
gf2x-1.3.0-r1.apk                                  25-Oct-2024 20:06               38311
gf2x-dev-1.3.0-r1.apk                              25-Oct-2024 20:06               59684
ghc-filesystem-1.5.14-r0.apk                       25-Oct-2024 20:06               39541
ghq-1.8.0-r1.apk                                   14-May-2025 21:13             3828108
ghq-bash-completion-1.8.0-r1.apk                   14-May-2025 21:13                1839
ghq-doc-1.8.0-r1.apk                               14-May-2025 21:13                5632
ghq-fish-completion-1.8.0-r1.apk                   14-May-2025 21:13                2617
ghq-zsh-completion-1.8.0-r1.apk                    14-May-2025 21:13                2554
gimp-plugin-gmic-3.5.4-r0.apk                      14-May-2025 21:13             1310036
ginac-1.8.9-r0.apk                                 25-May-2025 07:49             1110834
ginac-dev-1.8.9-r0.apk                             25-May-2025 07:49               69797
ginac-doc-1.8.9-r0.apk                             25-May-2025 07:49               99850
ginger-2.4.0-r7.apk                                25-Oct-2024 20:06              263234
ginger-lang-2.4.0-r7.apk                           25-Oct-2024 20:06              128454
ginger-pyc-2.4.0-r7.apk                            25-Oct-2024 20:06              211801
gingerbase-2.3.0-r7.apk                            25-Oct-2024 20:06              199913
gingerbase-lang-2.3.0-r7.apk                       25-Oct-2024 20:06               54132
gingerbase-pyc-2.3.0-r7.apk                        25-Oct-2024 20:06               62948
git-bug-0.8.1-r1.apk                               14-May-2025 21:13            10189316
git-bug-bash-completion-0.8.1-r1.apk               14-May-2025 21:13                5408
git-bug-doc-0.8.1-r1.apk                           14-May-2025 21:13               17255
git-bug-fish-completion-0.8.1-r1.apk               14-May-2025 21:13                4441
git-bug-zsh-completion-0.8.1-r1.apk                14-May-2025 21:13                4153
git-extras-7.3.0-r0.apk                            19-Nov-2024 22:34               56694
git-extras-bash-completion-7.3.0-r0.apk            19-Nov-2024 22:34                2891
git-extras-doc-7.3.0-r0.apk                        19-Nov-2024 22:34               64873
git-graph-0.6.0-r0.apk                             25-Nov-2024 23:38              882901
git-graph-doc-0.6.0-r0.apk                         25-Nov-2024 23:38                6393
git-quick-stats-2.5.8-r0.apk                       25-Oct-2024 20:06               12681
git-quick-stats-doc-2.5.8-r0.apk                   25-Oct-2024 20:06                2949
git-revise-0.7.0-r5.apk                            25-Oct-2024 20:06               24888
git-revise-doc-0.7.0-r5.apk                        25-Oct-2024 20:06                5082
git-revise-pyc-0.7.0-r5.apk                        25-Oct-2024 20:06               43205
git-secret-0.5.0-r0.apk                            25-Oct-2024 20:06               15112
git-secret-doc-0.5.0-r0.apk                        25-Oct-2024 20:06               17477
git2json-0.2.3-r8.apk                              25-Oct-2024 20:06                7603
git2json-pyc-0.2.3-r8.apk                          25-Oct-2024 20:06                5828
gkrellm-2.3.11-r0.apk                              08-Jan-2025 22:36              375667
gkrellm-dev-2.3.11-r0.apk                          08-Jan-2025 22:36               16901
gkrellm-doc-2.3.11-r0.apk                          08-Jan-2025 22:36               18973
gkrellm-lang-2.3.11-r0.apk                         08-Jan-2025 22:36              388220
gkrellm-server-2.3.11-r0.apk                       08-Jan-2025 22:36               53174
gliderlabs-sigil-0.11.0-r5.apk                     14-May-2025 21:13             3343972
gliderlabs-sigil-doc-0.11.0-r5.apk                 14-May-2025 21:13                2479
glmark2-2023.01-r1.apk                             25-Oct-2024 20:06             8386582
glmark2-doc-2023.01-r1.apk                         25-Oct-2024 20:06               13114
gloox-1.0.28-r0.apk                                25-Oct-2024 20:06              360581
gloox-dev-1.0.28-r0.apk                            25-Oct-2024 20:06              950756
glow-2.1.1-r0.apk                                  01-Jun-2025 12:27             6225775
glow-bash-completion-2.1.1-r0.apk                  01-Jun-2025 12:27                6242
glow-doc-2.1.1-r0.apk                              01-Jun-2025 12:27                3267
glow-fish-completion-2.1.1-r0.apk                  01-Jun-2025 12:27                4419
glow-zsh-completion-2.1.1-r0.apk                   01-Jun-2025 12:27                4137
glslviewer-3.2.4-r1.apk                            08-Feb-2025 23:43             1748754
gmcapsule-0.9.7-r0.apk                             08-Jan-2025 19:12               36903
gmcapsule-openrc-0.9.7-r0.apk                      08-Jan-2025 19:12                1971
gmcapsule-pyc-0.9.7-r0.apk                         08-Jan-2025 19:12               62088
gmenuharness-0.1.4-r2.apk                          17-Feb-2025 12:07               34977
gmenuharness-dev-0.1.4-r2.apk                      17-Feb-2025 12:07                4166
gmic-3.5.4-r0.apk                                  14-May-2025 21:13            12921517
gmic-bash-completion-3.5.4-r0.apk                  14-May-2025 21:13               29235
gmic-dev-3.5.4-r0.apk                              14-May-2025 21:13                7802
gmic-doc-3.5.4-r0.apk                              14-May-2025 21:13              226944
gmic-libs-3.5.4-r0.apk                             14-May-2025 21:13             3278343
gmic-qt-3.5.4-r0.apk                               14-May-2025 21:13             1651840
gmid-2.1.1-r0.apk                                  27-Nov-2024 19:26              211888
gmid-doc-2.1.1-r0.apk                              27-Nov-2024 19:26               14791
gmid-openrc-2.1.1-r0.apk                           27-Nov-2024 19:26                2248
gnome-common-3.18.0-r3.apk                         25-Oct-2024 20:06               11810
gnome-latex-3.48.0-r0.apk                          07-Apr-2025 21:29              366517
gnome-latex-doc-3.48.0-r0.apk                      07-Apr-2025 21:29              110599
gnome-latex-lang-3.48.0-r0.apk                     07-Apr-2025 21:29              542985
gnome-metronome-1.3.0-r0.apk                       25-Oct-2024 20:06              467823
gnome-metronome-lang-1.3.0-r0.apk                  25-Oct-2024 20:06               25274
gnome-user-share-48.0-r0.apk                       19-Mar-2025 12:51              307744
gnome-user-share-lang-48.0-r0.apk                  19-Mar-2025 12:51               68444
gnu-apl-1.9-r0.apk                                 25-Oct-2024 20:06             1359487
gnu-apl-dev-1.9-r0.apk                             25-Oct-2024 20:06              598948
gnu-apl-doc-1.9-r0.apk                             25-Oct-2024 20:06             1632311
go-jsonnet-0.21.0-r0.apk                           16-May-2025 20:40             6523817
go-mtpfs-1.0.0-r27.apk                             14-May-2025 21:13             1177848
go-passbolt-cli-0.3.2-r3.apk                       14-May-2025 21:13             6266616
gobang-0.1.0_alpha5-r1.apk                         25-Oct-2024 20:06             1823360
gobuster-3.6.0-r12.apk                             14-May-2025 21:13             3623803
godini-1.0.0-r0.apk                                27-May-2025 21:40             1490014
godini-doc-1.0.0-r0.apk                            27-May-2025 21:40               15120
gomp-1.0.0-r12.apk                                 14-May-2025 21:13             3700472
goomwwm-1.0.0-r5.apk                               25-Oct-2024 20:06               46552
goreman-0.3.15-r13.apk                             14-May-2025 21:13             2550032
goshs-1.0.9-r1.apk                                 29-May-2025 11:57             6339191
goshs-doc-1.0.9-r1.apk                             29-May-2025 11:57                2312
gost-2.12.0-r6.apk                                 14-May-2025 21:13             5724662
gost-doc-2.12.0-r6.apk                             14-May-2025 21:13                2304
gotestsum-1.12.2-r1.apk                            14-May-2025 21:13             2441062
gotify-2.5.0-r6.apk                                14-May-2025 21:13            10154873
gotify-cli-2.3.2-r5.apk                            14-May-2025 21:13             4362221
gotify-openrc-2.5.0-r6.apk                         14-May-2025 21:13                2051
goxel-0.15.1-r0.apk                                25-Oct-2024 20:06             1716728
gpa-0.10.0-r2.apk                                  25-Oct-2024 20:06              214927
gpa-doc-0.10.0-r2.apk                              25-Oct-2024 20:06                2921
gpg-remailer-3.04.07-r1.apk                        25-Oct-2024 20:06               50981
gpg-remailer-doc-3.04.07-r1.apk                    25-Oct-2024 20:06                9710
gprbuild-22.0.0-r3.apk                             25-Oct-2024 20:06            14066612
gpscorrelate-2.3-r0.apk                            27-Mar-2025 06:36               47372
gpscorrelate-cli-2.3-r0.apk                        27-Mar-2025 06:36               23845
gpscorrelate-doc-2.3-r0.apk                        27-Mar-2025 06:36              291799
gpscorrelate-lang-2.3-r0.apk                       27-Mar-2025 06:36               17806
granite7-7.5.0-r0.apk                              25-Oct-2024 20:06              116583
granite7-dev-7.5.0-r0.apk                          25-Oct-2024 20:06               40980
granite7-lang-7.5.0-r0.apk                         25-Oct-2024 20:06               53219
grcov-0.8.20-r0.apk                                11-Nov-2024 10:00             2054173
greetd-mini-wl-greeter-0_git20230821-r0.apk        25-Oct-2024 20:06               18986
greetd-mini-wl-greeter-bash-completion-0_git202..> 25-Oct-2024 20:06                2223
greetd-mini-wl-greeter-doc-0_git20230821-r0.apk    25-Oct-2024 20:06                3333
grip-4.2.4-r0.apk                                  25-Oct-2024 20:06              386467
grip-doc-4.2.4-r0.apk                              25-Oct-2024 20:06                6326
grip-lang-4.2.4-r0.apk                             25-Oct-2024 20:06              147889
gron-0.7.1-r25.apk                                 25-May-2025 07:49             2637498
gron-doc-0.7.1-r25.apk                             25-May-2025 07:49                6478
grpcui-1.4.3-r4.apk                                29-May-2025 11:57             8784948
grpcurl-1.9.3-r2.apk                               14-May-2025 21:13             8354137
gsettings-qt-1.0.0-r0.apk                          14-Mar-2025 06:19               26978
gsettings-qt-dev-1.0.0-r0.apk                      14-Mar-2025 06:19                3550
gsimplecal-2.5.1-r0.apk                            25-Oct-2024 20:06               16858
gsimplecal-doc-2.5.1-r0.apk                        25-Oct-2024 20:06                5916
gssdp-1.6.3-r0.apk                                 01-Dec-2024 12:57               44324
gssdp-dev-1.6.3-r0.apk                             01-Dec-2024 12:57               15825
gstreamermm-1.10.0-r6.apk                          17-Feb-2025 12:07              419167
gstreamermm-dev-1.10.0-r6.apk                      17-Feb-2025 12:07              317167
gtk-session-lock-0.2.0-r0.apk                      31-Jan-2025 16:16               24495
gtk-session-lock-dev-0.2.0-r0.apk                  31-Jan-2025 16:16                5406
gtkhash-1.5-r0.apk                                 25-Oct-2024 20:06               87864
gtkhash-lang-1.5-r0.apk                            25-Oct-2024 20:06               47746
gtklock-4.0.0-r0.apk                               31-Jan-2025 16:16               18216
gtklock-doc-4.0.0-r0.apk                           31-Jan-2025 16:16                3042
gtkwave-3.3.120-r0.apk                             25-Oct-2024 20:06             2640692
gtkwave-doc-3.3.120-r0.apk                         25-Oct-2024 20:06               27774
guake-3.10-r1.apk                                  25-Oct-2024 20:06              312649
guake-lang-3.10-r1.apk                             25-Oct-2024 20:06              192307
guake-pyc-3.10-r1.apk                              25-Oct-2024 20:06              190379
guestfs-tools-1.52.0-r1.apk                        25-Oct-2024 20:06              293529
guetzli-0_git20191025-r2.apk                       25-May-2025 07:49              142878
guetzli-dev-0_git20191025-r2.apk                   25-May-2025 07:49             2324537
gufw-24.04-r3.apk                                  19-Nov-2024 22:34              610793
gufw-doc-24.04-r3.apk                              19-Nov-2024 22:34                4592
gufw-lang-24.04-r3.apk                             19-Nov-2024 22:34              875100
gufw-pyc-24.04-r3.apk                              19-Nov-2024 22:34               66122
guish-2.6.11-r0.apk                                24-Dec-2024 10:42               90212
guish-doc-2.6.11-r0.apk                            24-Dec-2024 10:42               62763
gupnp-1.6.8-r0.apk                                 25-Jan-2025 07:03               86064
gupnp-av-0.14.3-r0.apk                             15-Jan-2025 23:26               75877
gupnp-av-dev-0.14.3-r0.apk                         15-Jan-2025 23:26               41883
gupnp-dev-1.6.8-r0.apk                             25-Jan-2025 07:03               51535
gupnp-dlna-0.12.0-r0.apk                           01-Dec-2024 12:57               62717
gupnp-dlna-dev-0.12.0-r0.apk                       01-Dec-2024 12:57               24836
gupnp-doc-1.6.8-r0.apk                             25-Jan-2025 07:03                3837
gx-0.14.3-r30.apk                                  14-May-2025 21:13             4850177
gx-doc-0.14.3-r30.apk                              14-May-2025 21:13                2331
gx-go-1.9.0-r32.apk                                14-May-2025 21:13             5106803
gx-go-doc-1.9.0-r32.apk                            14-May-2025 21:13                2329
gxlimg-0_git20240711-r0.apk                        25-Jan-2025 07:03               25340
h4h5tools-2.2.5-r4.apk                             25-Oct-2024 20:06              126011
h4h5tools-dev-2.2.5-r4.apk                         25-Oct-2024 20:06                8963
h4h5tools-doc-2.2.5-r4.apk                         25-Oct-2024 20:06                2790
h4h5tools-static-2.2.5-r4.apk                      25-Oct-2024 20:06              117759
habitctl-0.1.0-r2.apk                              25-Oct-2024 20:06              337454
halp-0.2.0-r0.apk                                  25-Oct-2024 20:06              951939
halp-bash-completion-0.2.0-r0.apk                  25-Oct-2024 20:06                2228
halp-doc-0.2.0-r0.apk                              25-Oct-2024 20:06                7046
halp-fish-completion-0.2.0-r0.apk                  25-Oct-2024 20:06                2001
halp-zsh-completion-0.2.0-r0.apk                   25-Oct-2024 20:06                2460
hamster-time-tracker-3.0.3-r2.apk                  25-Oct-2024 20:06              159569
hamster-time-tracker-bash-completion-3.0.3-r2.apk  25-Oct-2024 20:06                2017
hamster-time-tracker-doc-3.0.3-r2.apk              25-Oct-2024 20:06              118986
hamster-time-tracker-lang-3.0.3-r2.apk             25-Oct-2024 20:06              210634
hamster-time-tracker-pyc-3.0.3-r2.apk              25-Oct-2024 20:06              366726
handlebars-1.0.0-r1.apk                            25-Oct-2024 20:06               98999
handlebars-dev-1.0.0-r1.apk                        25-Oct-2024 20:06               33168
handlebars-utils-1.0.0-r1.apk                      25-Oct-2024 20:06                9735
hardinfo2-2.2.10-r0.apk                            18-May-2025 22:18             3494145
hardinfo2-doc-2.2.10-r0.apk                        18-May-2025 22:18                2735
hardinfo2-lang-2.2.10-r0.apk                       18-May-2025 22:18              279702
hardinfo2-openrc-2.2.10-r0.apk                     18-May-2025 22:18                1996
harminv-1.4.2-r1.apk                               25-Oct-2024 20:06                7622
harminv-dev-1.4.2-r1.apk                           25-Oct-2024 20:06                3170
harminv-doc-1.4.2-r1.apk                           25-Oct-2024 20:06                5775
harminv-libs-1.4.2-r1.apk                          25-Oct-2024 20:06               12064
hashcat-6.2.6-r0.apk                               25-Oct-2024 20:06            57748868
hashcat-doc-6.2.6-r0.apk                           25-Oct-2024 20:06             2253585
hatch-1.14.1-r0.apk                                10-Apr-2025 13:10              104572
hatch-pyc-1.14.1-r0.apk                            10-Apr-2025 13:10              221004
hatop-0.8.2-r0.apk                                 25-Oct-2024 20:06               18387
hatop-doc-0.8.2-r0.apk                             25-Oct-2024 20:06                3065
haxe-4.3.3-r1.apk                                  22-Mar-2025 14:33            10281142
haxe-doc-4.3.3-r1.apk                              22-Mar-2025 14:33                7932
hctl-0.2.7-r0.apk                                  14-May-2025 21:13             1386181
hddfancontrol-1.6.2-r0.apk                         25-Oct-2024 20:06               33625
hddfancontrol-openrc-1.6.2-r0.apk                  25-Oct-2024 20:06                2237
hddfancontrol-pyc-1.6.2-r0.apk                     25-Oct-2024 20:06               34848
hdf4-4.2.15-r2.apk                                 25-Oct-2024 20:06              276214
hdf4-dev-4.2.15-r2.apk                             25-Oct-2024 20:06              103267
hdf4-doc-4.2.15-r2.apk                             25-Oct-2024 20:06                6150
hdf4-tools-4.2.15-r2.apk                           25-Oct-2024 20:06              191327
heh-0.6.1-r0.apk                                   25-Oct-2024 20:06              495808
heh-doc-0.6.1-r0.apk                               25-Oct-2024 20:06                4167
heisenbridge-1.15.3-r0.apk                         20-Apr-2025 05:16               68838
heisenbridge-pyc-1.15.3-r0.apk                     20-Apr-2025 05:16              158681
helm-diff-3.11.0-r1.apk                            14-May-2025 21:13            22002451
helm-ls-0.0.12-r9.apk                              14-May-2025 21:13            11617179
helm-ls-doc-0.0.12-r9.apk                          14-May-2025 21:13                2304
helm-mapkubeapis-0.5.2-r6.apk                      14-May-2025 21:13            20370342
helm-unittest-0.8.2-r0.apk                         16-May-2025 14:37            12261974
herbe-1.0.0-r0.apk                                 25-Oct-2024 20:06                5755
hex-0.6.0-r0.apk                                   25-Oct-2024 20:06              307966
hexdiff-0.0.53-r3.apk                              25-May-2025 07:49               14027
hexdiff-doc-0.0.53-r3.apk                          25-May-2025 07:49                3821
hexedit-1.6_git20230905-r0.apk                     25-Oct-2024 20:06               18780
hexedit-doc-1.6_git20230905-r0.apk                 25-Oct-2024 20:06                5701
hexer-1.4.0-r16.apk                                07-Nov-2024 23:41               57724
hexer-dev-1.4.0-r16.apk                            07-Nov-2024 23:41                7496
hfst-3.16.2-r0.apk                                 28-Mar-2025 16:15             1398137
hfst-dev-3.16.2-r0.apk                             28-Mar-2025 16:15              213997
hfst-doc-3.16.2-r0.apk                             28-Mar-2025 16:15               71337
hfst-libs-3.16.2-r0.apk                            28-Mar-2025 16:15             1914448
hiawatha-11.6-r1.apk                               27-May-2025 11:07              170326
hiawatha-doc-11.6-r1.apk                           27-May-2025 11:07               21523
hiawatha-letsencrypt-11.6-r1.apk                   27-May-2025 11:07               17650
hiawatha-openrc-11.6-r1.apk                        27-May-2025 11:07                1726
hidrd-0.2.0_git20190603-r1.apk                     25-Oct-2024 20:06               67350
hidrd-dev-0.2.0_git20190603-r1.apk                 25-Oct-2024 20:06              123148
highfive-2.10.1-r0.apk                             15-Jan-2025 02:50               77291
hikari-2.3.3-r6.apk                                25-Oct-2024 20:06              956206
hikari-doc-2.3.3-r6.apk                            25-Oct-2024 20:06               13882
hikari-unlocker-2.3.3-r6.apk                       25-Oct-2024 20:06                3975
hilbish-2.3.4-r5.apk                               14-May-2025 21:14             3495526
hilbish-doc-2.3.4-r5.apk                           14-May-2025 21:14               25538
hiprompt-gtk-py-0.8.0-r0.apk                       25-Oct-2024 20:06                8449
hitide-0.15.0-r0.apk                               25-Oct-2024 20:06             2159207
hitide-openrc-0.15.0-r0.apk                        25-Oct-2024 20:06                2165
homebank-5.9.1-r0.apk                              14-May-2025 21:14             2127634
homebank-lang-5.9.1-r0.apk                         14-May-2025 21:14              965021
hopalong-0.1-r3.apk                                25-Oct-2024 20:06               20332
horizon-0.9.6-r9.apk                               25-Oct-2024 20:06              203369
horizon-dbg-0.9.6-r9.apk                           25-Oct-2024 20:06             4223323
horizon-dev-0.9.6-r9.apk                           25-Oct-2024 20:06                4996
horizon-doc-0.9.6-r9.apk                           25-Oct-2024 20:06               21964
horizon-image-0.9.6-r9.apk                         25-Oct-2024 20:06               64581
horizon-tools-0.9.6-r9.apk                         25-Oct-2024 20:06               73457
horust-0.1.7-r2.apk                                25-Oct-2024 20:06             1090676
horust-doc-0.1.7-r2.apk                            25-Oct-2024 20:06                9389
hping3-20051105-r4.apk                             25-Oct-2024 20:06               69445
hping3-doc-20051105-r4.apk                         25-Oct-2024 20:06               17086
hpnssh-18.6.2-r0.apk                               20-Mar-2025 11:55             2512694
hpnssh-doc-18.6.2-r0.apk                           20-Mar-2025 11:55              101535
hsetroot-1.0.5-r1.apk                              25-Oct-2024 20:06               11515
hstdb-2.1.0-r2.apk                                 25-Oct-2024 20:06              921151
htmlcxx-0.87-r1.apk                                25-Oct-2024 20:06               59024
htmlcxx-dev-0.87-r1.apk                            25-Oct-2024 20:06               21117
htslib-1.19-r0.apk                                 25-Oct-2024 20:06              382260
htslib-dev-1.19-r0.apk                             25-Oct-2024 20:06              118055
htslib-doc-1.19-r0.apk                             25-Oct-2024 20:06               23178
htslib-static-1.19-r0.apk                          25-Oct-2024 20:06              472213
htslib-tools-1.19-r0.apk                           25-Oct-2024 20:06             1260270
httpie-oauth-1.0.2-r9.apk                          25-Oct-2024 20:06                3444
httpie-oauth-pyc-1.0.2-r9.apk                      25-Oct-2024 20:06                2363
httplz-2.2.0-r0.apk                                18-May-2025 15:39             1384355
httplz-doc-2.2.0-r0.apk                            18-May-2025 15:39                2308
httpx-1.7.0-r2.apk                                 29-May-2025 11:57            13681204
httpx-doc-1.7.0-r2.apk                             29-May-2025 11:57                2332
httrack-3.49.2-r5.apk                              25-Oct-2024 20:06              765115
httrack-doc-3.49.2-r5.apk                          25-Oct-2024 20:06              540627
hub-2.14.2-r31.apk                                 14-May-2025 21:14             2974061
hub-bash-completion-2.14.2-r31.apk                 14-May-2025 21:14                4705
hub-doc-2.14.2-r31.apk                             14-May-2025 21:14               42992
hub-fish-completion-2.14.2-r31.apk                 14-May-2025 21:14                3390
hub-zsh-completion-2.14.2-r31.apk                  14-May-2025 21:14                3799
hubble-cli-0.13.6-r5.apk                           14-May-2025 21:14            18336255
hubble-cli-bash-completion-0.13.6-r5.apk           14-May-2025 21:14                5199
hubble-cli-fish-completion-0.13.6-r5.apk           14-May-2025 21:14                4439
hubble-cli-zsh-completion-0.13.6-r5.apk            14-May-2025 21:14                4154
hunspell-ca-es-3.0.7-r0.apk                        25-Oct-2024 20:06              748798
hurl-6.1.1-r0.apk                                  03-May-2025 17:29             1910654
hurl-bash-completion-6.1.1-r0.apk                  03-May-2025 17:29                2342
hurl-doc-6.1.1-r0.apk                              03-May-2025 17:29                8991
hurl-fish-completion-6.1.1-r0.apk                  03-May-2025 17:29                3594
hurl-zsh-completion-6.1.1-r0.apk                   03-May-2025 17:29                4095
hurlfmt-6.1.1-r0.apk                               03-May-2025 17:29              891615
hw-probe-1.6.6-r0.apk                              11-Feb-2025 09:55              126723
hwatch-0.3.11-r0.apk                               25-Oct-2024 20:06             1040080
hwatch-doc-0.3.11-r0.apk                           25-Oct-2024 20:06                3088
hwatch-fish-completion-0.3.11-r0.apk               25-Oct-2024 20:06                1835
hwatch-zsh-completion-0.3.11-r0.apk                25-Oct-2024 20:06                1949
hx-1.0.15-r0.apk                                   25-Oct-2024 20:06               14576
hx-doc-1.0.15-r0.apk                               25-Oct-2024 20:06                4899
hyfetch-1.99.0-r1.apk                              25-Oct-2024 20:06              443305
hyfetch-bash-completion-1.99.0-r1.apk              25-Oct-2024 20:06                3361
hyfetch-doc-1.99.0-r1.apk                          25-Oct-2024 20:06               16909
hyfetch-pyc-1.99.0-r1.apk                          25-Oct-2024 20:06              184317
hyfetch-zsh-completion-1.99.0-r1.apk               25-Oct-2024 20:06                2577
hypnotix-3.5-r0.apk                                25-Oct-2024 20:06              112680
hypnotix-lang-3.5-r0.apk                           25-Oct-2024 20:06               74120
hyprland-plugins-0.49.0-r0.apk                     24-May-2025 20:10                1379
hyprland-plugins-borders-plus-plus-0.49.0-r0.apk   24-May-2025 20:10               56342
hyprland-plugins-csgo-vulkan-fix-0.49.0-r0.apk     24-May-2025 20:10               17346
hyprland-plugins-doc-0.49.0-r0.apk                 24-May-2025 20:10                5800
hyprland-plugins-hyprbars-0.49.0-r0.apk            24-May-2025 20:10               93060
hyprland-plugins-hyprexpo-0.49.0-r0.apk            24-May-2025 20:10               43584
hyprland-plugins-hyprtrails-0.49.0-r0.apk          24-May-2025 20:10               47168
hyprland-plugins-hyprwinwrap-0.49.0-r0.apk         24-May-2025 20:10               60639
hyprland-plugins-xtra-dispatchers-0.49.0-r0.apk    24-May-2025 20:10               16586
hyx-2024.02.29-r0.apk                              25-Oct-2024 20:06               17485
hyx-doc-2024.02.29-r0.apk                          25-Oct-2024 20:06                2293
i2util-4.2.1-r1.apk                                25-Oct-2024 20:06               23162
i2util-dev-4.2.1-r1.apk                            25-Oct-2024 20:06               46329
i2util-doc-4.2.1-r1.apk                            25-Oct-2024 20:06                4827
i3bar-river-1.1.0-r0.apk                           14-Mar-2025 14:06              545143
i3status-rust-0.33.2-r0.apk                        11-Nov-2024 03:21             4706636
i3status-rust-doc-0.33.2-r0.apk                    11-Nov-2024 03:21               34307
icesprog-0_git20240108-r1.apk                      25-Oct-2024 20:06                8937
icesprog-udev-0_git20240108-r1.apk                 25-Oct-2024 20:06                1941
icestorm-0_git20240517-r0.apk                      25-Oct-2024 20:06            17330414
icmake-9.03.01-r0.apk                              25-Oct-2024 20:06              104710
icmake-doc-9.03.01-r0.apk                          25-Oct-2024 20:06              130444
identities-0.1.4-r0.apk                            14-May-2025 21:14               13730
identme-0.6.0-r0.apk                               03-Apr-2025 12:33               47838
idesk-1-r1.apk                                     25-Oct-2024 20:06               65491
ideviceinstaller-1.1.1-r4.apk                      30-Oct-2024 22:44               14859
ideviceinstaller-doc-1.1.1-r4.apk                  30-Oct-2024 22:44                2511
idevicerestore-1.0.0-r4.apk                        30-Oct-2024 22:44               85167
idevicerestore-doc-1.0.0-r4.apk                    30-Oct-2024 22:44                2599
ifuse-1.1.4-r5.apk                                 30-Oct-2024 22:44               10058
ifuse-doc-1.1.4-r5.apk                             30-Oct-2024 22:44                2396
igrep-1.2.0-r0.apk                                 25-Oct-2024 20:06             1679059
igrep-doc-1.2.0-r0.apk                             25-Oct-2024 20:06                4231
ijq-1.1.0-r8.apk                                   14-May-2025 21:14             1441175
ijq-doc-1.1.0-r8.apk                               14-May-2025 21:14                3607
imapfilter-2.8.2-r0.apk                            25-Oct-2024 20:06               37679
imapfilter-doc-2.8.2-r0.apk                        25-Oct-2024 20:06               13115
imediff-2.6-r1.apk                                 25-Oct-2024 20:06               42581
imediff-doc-2.6-r1.apk                             25-Oct-2024 20:06                6687
imediff-pyc-2.6-r1.apk                             25-Oct-2024 20:06               44707
imgdiff-1.0.2-r26.apk                              14-May-2025 21:14             1000006
imgdiff-doc-1.0.2-r26.apk                          14-May-2025 21:14                2313
imrsh-0_git20210320-r1.apk                         25-Oct-2024 20:06                8409
imrsh-dbg-0_git20210320-r1.apk                     25-Oct-2024 20:06               19980
incus-ui-canonical-0.14.6-r0.apk                   03-Feb-2025 22:59             4736008
infnoise-0.3.3-r0.apk                              26-May-2025 08:58               15170
infnoise-doc-0.3.3-r0.apk                          26-May-2025 08:58                4246
infnoise-openrc-0.3.3-r0.apk                       26-May-2025 08:58                1769
initify-0_git20171210-r1.apk                       25-Oct-2024 20:06                3362
innernet-1.6.1-r0.apk                              25-Oct-2024 20:06             2937437
innernet-bash-completion-1.6.1-r0.apk              25-Oct-2024 20:06                3889
innernet-doc-1.6.1-r0.apk                          25-Oct-2024 20:06                9225
innernet-fish-completion-1.6.1-r0.apk              25-Oct-2024 20:06                4617
innernet-openrc-1.6.1-r0.apk                       25-Oct-2024 20:06                2330
innernet-zsh-completion-1.6.1-r0.apk               25-Oct-2024 20:06                5556
interception-tools-0.6.8-r2.apk                    25-Oct-2024 20:06              107629
interception-tools-openrc-0.6.8-r2.apk             25-Oct-2024 20:06                1729
invidtui-0.4.6-r5.apk                              14-May-2025 21:14             4178538
ip2location-8.6.1-r0.apk                           25-Oct-2024 20:06               22796
ip2location-dev-8.6.1-r0.apk                       25-Oct-2024 20:06               12429
ip2location-doc-8.6.1-r0.apk                       25-Oct-2024 20:06                2777
ipp-usb-0.9.30-r0.apk                              27-May-2025 22:50             2582649
ipp-usb-doc-0.9.30-r0.apk                          27-May-2025 22:50                9026
ipp-usb-openrc-0.9.30-r0.apk                       27-May-2025 22:50                1769
iprange-1.0.4-r1.apk                               25-Oct-2024 20:06               22127
iprange-doc-1.0.4-r1.apk                           25-Oct-2024 20:06                4644
irccd-4.0.3-r0.apk                                 25-Oct-2024 20:06              248874
irccd-dev-4.0.3-r0.apk                             25-Oct-2024 20:06                9829
irccd-doc-4.0.3-r0.apk                             25-Oct-2024 20:06               82280
irccd-openrc-4.0.3-r0.apk                          25-Oct-2024 20:06                1840
ircd-hybrid-8.2.47-r0.apk                          05-Apr-2025 04:01              336862
ircd-hybrid-doc-8.2.47-r0.apk                      05-Apr-2025 04:01                3700
ircdog-0.5.4-r5.apk                                14-May-2025 21:14             2519210
isoinfo-0_git20131217-r1.apk                       25-Oct-2024 20:06                6501
it87-src-1_p20240609-r0.apk                        25-Oct-2024 20:06               30404
itd-1.1.0-r13.apk                                  14-May-2025 21:14             9267521
iwmenu-0.2.0-r0.apk                                18-May-2025 22:29             1669064
jack_capture-0.9.73_git20210429-r2.apk             25-Oct-2024 20:06               32402
jackal-0.64.0-r15.apk                              14-May-2025 21:14            12170075
jackal-openrc-0.64.0-r15.apk                       14-May-2025 21:14                1883
jackdaw-0.3.1-r2.apk                               29-May-2025 11:57             2072847
jackdaw-pyc-0.3.1-r2.apk                           29-May-2025 11:57              372899
jackline-0.1.0-r3.apk                              25-Oct-2024 20:06             4092327
jalv-1.6.8-r1.apk                                  25-Oct-2024 20:06               47427
jalv-doc-1.6.8-r1.apk                              25-Oct-2024 20:06                3229
jalv-gtk-1.6.8-r1.apk                              25-Oct-2024 20:06               32356
jami-qt-20230925-r0.apk                            25-Oct-2024 20:06            14177638
jami-qt-doc-20230925-r0.apk                        25-Oct-2024 20:06                2712
jami-qt-lang-20230925-r0.apk                       25-Oct-2024 20:06             2409666
jaq-2.2.0-r0.apk                                   03-May-2025 17:18              663553
jaq-doc-2.2.0-r0.apk                               03-May-2025 17:18                2298
java-jtharness-6.0_p12-r0.apk                      25-Oct-2024 20:06             4213432
java-jtharness-doc-6.0_p12-r0.apk                  25-Oct-2024 20:06               11842
java-jtharness-examples-6.0_p12-r0.apk             25-Oct-2024 20:06              224130
jbigkit-2.1-r2.apk                                 25-Oct-2024 20:06               66584
jbigkit-dev-2.1-r2.apk                             25-Oct-2024 20:06               29614
jbigkit-doc-2.1-r2.apk                             25-Oct-2024 20:06                7514
jdebp-redo-1.4-r1.apk                              25-Oct-2024 20:06               91173
jdebp-redo-doc-1.4-r1.apk                          25-Oct-2024 20:06               12410
jdupes-1.28.0-r0.apk                               25-Oct-2024 20:06               29906
jdupes-doc-1.28.0-r0.apk                           25-Oct-2024 20:06                9207
jedi-language-server-0.45.1-r0.apk                 29-Apr-2025 19:46               32512
jedi-language-server-pyc-0.45.1-r0.apk             29-Apr-2025 19:46               50937
jfrog-cli-2.45.0-r12.apk                           14-May-2025 21:14             9339745
jhead-3.08-r0.apk                                  25-Oct-2024 20:06               34320
jhead-doc-3.08-r0.apk                              25-Oct-2024 20:06                8065
jotdown-0.7.0-r0.apk                               11-Mar-2025 20:12              238860
jrsonnet-cli-0.4.2-r1.apk                          25-Oct-2024 20:06              586211
jsmn-1.1.0-r2.apk                                  25-Oct-2024 20:06                4811
json2tsv-1.2-r0.apk                                25-Oct-2024 20:06                6806
json2tsv-doc-1.2-r0.apk                            25-Oct-2024 20:06                5369
json2tsv-jaq-1.2-r0.apk                            25-Oct-2024 20:06                1951
json2tsv-jaq-doc-1.2-r0.apk                        25-Oct-2024 20:06                2389
jsonnet-bundler-0.6.0-r5.apk                       14-May-2025 21:14             3344257
jsonnet-language-server-0.15.0-r4.apk              14-May-2025 21:14             4306812
junit2html-31.0.2-r0.apk                           25-Oct-2024 20:06               17134
junit2html-pyc-31.0.2-r0.apk                       25-Oct-2024 20:06               24187
jwt-cli-6.2.0-r0.apk                               14-Dec-2024 18:18              867378
k2-0_git20220807-r1.apk                            25-Oct-2024 20:06              112316
k3sup-0.13.6-r5.apk                                14-May-2025 21:14             2769510
k3sup-bash-completion-0.13.6-r5.apk                14-May-2025 21:14                5144
k3sup-fish-completion-0.13.6-r5.apk                14-May-2025 21:14                4382
k3sup-zsh-completion-0.13.6-r5.apk                 14-May-2025 21:14                4093
kabmat-2.7.0-r0.apk                                25-Oct-2024 20:06               55807
kabmat-doc-2.7.0-r0.apk                            25-Oct-2024 20:06                3620
kannel-1.5.0-r11.apk                               25-Oct-2024 20:06             6437373
kannel-dev-1.5.0-r11.apk                           25-Oct-2024 20:06              948824
kannel-doc-1.5.0-r11.apk                           25-Oct-2024 20:06                6349
kapow-0.7.1-r13.apk                                14-May-2025 21:14             3683312
katana-1.1.3-r1.apk                                14-May-2025 21:14            14091021
katana-doc-1.1.3-r1.apk                            14-May-2025 21:14                2317
katarakt-0.2-r1.apk                                29-Jan-2025 19:00               81564
kbs2-0.7.2-r3.apk                                  25-Oct-2024 20:06             1077578
kbs2-bash-completion-0.7.2-r3.apk                  25-Oct-2024 20:06                3160
kbs2-fish-completion-0.7.2-r3.apk                  25-Oct-2024 20:06                3284
kbs2-zsh-completion-0.7.2-r3.apk                   25-Oct-2024 20:06                4064
kcbench-0.9.12-r0.apk                              29-Apr-2025 19:46               38056
kcbench-doc-0.9.12-r0.apk                          29-Apr-2025 19:46               20747
kdiskmark-3.1.4-r1.apk                             25-Oct-2024 20:06              162528
kdiskmark-lang-3.1.4-r1.apk                        25-Oct-2024 20:06               27302
keepassxc-browser-1.8.9-r0.apk                     25-Oct-2024 20:06              897470
kerberoast-0.2.0-r2.apk                            29-May-2025 11:57                9549
kerberoast-pyc-0.2.0-r2.apk                        29-May-2025 11:57               15421
kew-3.3.3-r0.apk                                   29-May-2025 22:22              367201
kew-doc-3.3.3-r0.apk                               29-May-2025 22:22                3569
keybase-client-6.2.8-r10.apk                       14-May-2025 21:14            18286954
keystone-0.9.2-r6.apk                              25-Oct-2024 20:06             1490892
keystone-dev-0.9.2-r6.apk                          25-Oct-2024 20:06                7481
keystone-python-0.9.2-r6.apk                       25-Oct-2024 20:06             1684616
keystone-python-pyc-0.9.2-r6.apk                   25-Oct-2024 20:06                9904
kfc-0.1.4-r0.apk                                   25-Oct-2024 20:06               59113
khinsider-2.0.7-r20.apk                            14-May-2025 21:14             3557040
khronos-4.0.1-r0.apk                               25-Oct-2024 20:06               49672
khronos-lang-4.0.1-r0.apk                          25-Oct-2024 20:06               26325
kimchi-3.0.0-r8.apk                                10-Feb-2025 21:13              541594
kimchi-lang-3.0.0-r8.apk                           10-Feb-2025 21:13              176029
kimchi-pyc-3.0.0-r8.apk                            10-Feb-2025 21:13              487506
kine-0.10.1-r13.apk                                14-May-2025 21:14             8058833
kine-doc-0.10.1-r13.apk                            14-May-2025 21:14                5276
kirc-0.3.3-r0.apk                                  22-Feb-2025 11:09               15620
kirc-doc-0.3.3-r0.apk                              22-Feb-2025 11:09                2844
kismet-0.202307.1-r7.apk                           14-May-2025 21:14            11897869
kismet-linux-bluetooth-0.202307.1-r7.apk           14-May-2025 21:14               43890
kismet-linux-wifi-0.202307.1-r7.apk                14-May-2025 21:14               63402
kismet-logtools-0.202307.1-r7.apk                  14-May-2025 21:14             1097863
kismet-nrf-51822-0.202307.1-r7.apk                 14-May-2025 21:14               40452
kismet-nxp-kw41z-0.202307.1-r7.apk                 14-May-2025 21:14               42144
kjv-0_git20221103-r0.apk                           25-Oct-2024 20:06             1615529
kmscon-9.0.0-r0.apk                                25-Oct-2024 20:06              813205
kmscon-doc-9.0.0-r0.apk                            25-Oct-2024 20:06                7925
knative-client-1.18.0-r0.apk                       16-May-2025 14:34            24465655
knative-client-bash-completion-1.18.0-r0.apk       16-May-2025 14:34               10392
knative-client-fish-completion-1.18.0-r0.apk       16-May-2025 14:34                4411
knative-client-zsh-completion-1.18.0-r0.apk        16-May-2025 14:34                4139
knxd-0.14.61-r1.apk                                14-Dec-2024 18:56              382466
knxd-dev-0.14.61-r1.apk                            14-Dec-2024 18:56               24156
ko-0.17.1-r5.apk                                   14-May-2025 21:14            10493693
ko-bash-completion-0.17.1-r5.apk                   14-May-2025 21:14                5165
ko-fish-completion-0.17.1-r5.apk                   14-May-2025 21:14                4401
ko-zsh-completion-0.17.1-r5.apk                    14-May-2025 21:14                4118
komikku-1.74.0-r0.apk                              07-Apr-2025 18:04              410554
komikku-lang-1.74.0-r0.apk                         07-Apr-2025 18:04              269543
komikku-pyc-1.74.0-r0.apk                          07-Apr-2025 18:04              776334
kompose-1.31.2-r10.apk                             14-May-2025 21:14             7479512
kompose-bash-completion-1.31.2-r10.apk             14-May-2025 21:14                5731
kompose-fish-completion-1.31.2-r10.apk             14-May-2025 21:14                4477
kompose-zsh-completion-1.31.2-r10.apk              14-May-2025 21:14                6945
kondo-0.8-r0.apk                                   25-Oct-2024 20:06              705270
kondo-bash-completion-0.8-r0.apk                   25-Oct-2024 20:06                2127
kondo-fish-completion-0.8-r0.apk                   25-Oct-2024 20:06                2122
kondo-zsh-completion-0.8-r0.apk                    25-Oct-2024 20:06                2492
kopia-0.19.0-r4.apk                                14-May-2025 21:14            16835904
kopia-bash-completion-0.19.0-r4.apk                14-May-2025 21:14                1811
kopia-zsh-completion-0.19.0-r4.apk                 14-May-2025 21:14                1830
kronosnet-1.30-r0.apk                              27-May-2025 23:07               80098
kronosnet-dev-1.30-r0.apk                          27-May-2025 23:07             2566466
kronosnet-doc-1.30-r0.apk                          27-May-2025 23:07              108260
ktx-4.3.2-r0.apk                                   25-Oct-2024 20:06             1179205
ktx-dev-4.3.2-r0.apk                               25-Oct-2024 20:06               29882
ktx-libs-4.3.2-r0.apk                              25-Oct-2024 20:06             1324359
kube-no-trouble-0.7.3-r5.apk                       14-May-2025 21:14            14016308
kubeconform-0.6.6-r7.apk                           14-May-2025 21:14             3512175
kubeone-1.10.0-r0.apk                              16-May-2025 08:28            27336472
kubeone-bash-completion-1.10.0-r0.apk              16-May-2025 08:28                6849
kubeone-doc-1.10.0-r0.apk                          16-May-2025 08:28               20718
kubeone-zsh-completion-1.10.0-r0.apk               16-May-2025 08:28                4138
kubepug-1.7.1-r10.apk                              14-May-2025 21:14            16908437
kubepug-bash-completion-1.7.1-r10.apk              14-May-2025 21:14                5257
kubepug-fish-completion-1.7.1-r10.apk              14-May-2025 21:14                4436
kubepug-zsh-completion-1.7.1-r10.apk               14-May-2025 21:14                4150
kubeseal-0.29.0-r1.apk                             14-May-2025 21:14            11586701
kubeseal-doc-0.29.0-r1.apk                         14-May-2025 21:14                5663
kubesplit-0.3.3-r1.apk                             25-Oct-2024 20:06               12974
kubesplit-pyc-0.3.3-r1.apk                         25-Oct-2024 20:06               13271
lavacli-2.2.0-r0.apk                               21-Mar-2025 18:44               48730
lavacli-doc-2.2.0-r0.apk                           21-Mar-2025 18:44               34452
lavacli-pyc-2.2.0-r0.apk                           21-Mar-2025 18:44               86119
laze-0.1.31-r0.apk                                 18-Feb-2025 22:39             1099558
laze-bash-completion-0.1.31-r0.apk                 18-Feb-2025 22:39                3137
laze-doc-0.1.31-r0.apk                             18-Feb-2025 22:39                3622
laze-fish-completion-0.1.31-r0.apk                 18-Feb-2025 22:39                3462
laze-zsh-completion-0.1.31-r0.apk                  18-Feb-2025 22:39                3639
lbb-0.9.1-r3.apk                                   14-May-2025 21:14             3235887
lbb-doc-0.9.1-r3.apk                               14-May-2025 21:14               19036
lcalc-2.1.0-r0.apk                                 03-May-2025 08:31              174185
lcalc-dev-2.1.0-r0.apk                             03-May-2025 08:31               52593
lcalc-doc-2.1.0-r0.apk                             03-May-2025 08:31              447257
lcalc-libs-2.1.0-r0.apk                            03-May-2025 08:31              238154
ldapdomaindump-0.9.4-r2.apk                        29-May-2025 11:57               18383
ldapdomaindump-pyc-0.9.4-r2.apk                    29-May-2025 11:57               31186
ledmon-1.0.0-r0.apk                                25-Oct-2024 20:06               77346
ledmon-doc-1.0.0-r0.apk                            25-Oct-2024 20:06               14414
lefthook-1.11.9-r1.apk                             14-May-2025 21:14             5382146
lefthook-doc-1.11.9-r1.apk                         14-May-2025 21:14                2302
legume-1.4.2-r9.apk                                14-May-2025 21:14             1474569
legume-doc-1.4.2-r9.apk                            14-May-2025 21:14               12622
leptosfmt-0.1.33-r0.apk                            25-Mar-2025 15:06             1059249
leptosfmt-doc-0.1.33-r0.apk                        25-Mar-2025 15:06                6188
level-zero-1.21.9-r0.apk                           23-May-2025 19:15              581905
level-zero-doc-1.21.9-r0.apk                       23-May-2025 19:15                2324
levmar-dev-2.6-r0.apk                              25-Oct-2024 20:06               46789
lfm-3.1-r4.apk                                     25-Oct-2024 20:06               90240
lfm-doc-3.1-r4.apk                                 25-Oct-2024 20:06                2831
lfm-pyc-3.1-r4.apk                                 25-Oct-2024 20:06              136925
lgogdownloader-3.16-r1.apk                         25-Mar-2025 15:01              365803
lgogdownloader-doc-3.16-r1.apk                     25-Mar-2025 15:01                8713
libabigail-2.3-r0.apk                              25-Oct-2024 20:06              779820
libabigail-bash-completion-2.3-r0.apk              25-Oct-2024 20:06                3038
libabigail-dev-2.3-r0.apk                          25-Oct-2024 20:06             1397340
libabigail-doc-2.3-r0.apk                          25-Oct-2024 20:06               62710
libabigail-tools-2.3-r0.apk                        25-Oct-2024 20:06               99631
libantlr3c-3.4-r3.apk                              25-Oct-2024 20:06               38926
libantlr3c-dev-3.4-r3.apk                          25-Oct-2024 20:06               59776
libantlr4-4.13.2-r0.apk                            12-Dec-2024 12:30              406941
libantlr4-dev-4.13.2-r0.apk                        12-Dec-2024 12:30              883654
libapk3-3.0.0_rc4_git20250421-r0.apk               14-May-2025 21:14              127107
libaudec-0.3.4-r3.apk                              25-Oct-2024 20:06               28948
libaudec-dev-0.3.4-r3.apk                          25-Oct-2024 20:06                4360
libaudec-static-0.3.4-r3.apk                       25-Oct-2024 20:06               30623
libaudec-tools-0.3.4-r3.apk                        25-Oct-2024 20:06               29109
libb64-2.0.0.1-r0.apk                              25-Oct-2024 20:06                4637
libb64-dev-2.0.0.1-r0.apk                          25-Oct-2024 20:06                5654
libb64-doc-2.0.0.1-r0.apk                          25-Oct-2024 20:06                8229
libbamf-0.5.6-r1.apk                               25-Oct-2024 20:06              136220
libbamf-dev-0.5.6-r1.apk                           25-Oct-2024 20:06                6545
libbamf-doc-0.5.6-r1.apk                           25-Oct-2024 20:06               31801
libbraiding-1.3.1-r0.apk                           19-Nov-2024 22:34               80462
libbraiding-dev-1.3.1-r0.apk                       19-Nov-2024 22:34               15430
libbsoncxx-3.8.0-r0.apk                            25-Oct-2024 20:06               43137
libbsoncxx-dev-3.8.0-r0.apk                        25-Oct-2024 20:06               39584
libcec-rpi-6.0.2-r4.apk                            25-Oct-2024 20:06              173704
libcec-rpi-dev-6.0.2-r4.apk                        25-Oct-2024 20:06               25184
libcli-1.10.7-r0.apk                               25-Oct-2024 20:06               26399
libcork-0.15.0-r7.apk                              25-Oct-2024 20:06               29365
libcork-dev-0.15.0-r7.apk                          25-Oct-2024 20:06               30689
libcork-tools-0.15.0-r7.apk                        25-Oct-2024 20:06                4683
libcorkipset-1.1.1-r4.apk                          25-Oct-2024 20:06               11448
libcorkipset-dev-1.1.1-r4.apk                      25-Oct-2024 20:06                8271
libcorkipset-tools-1.1.1-r4.apk                    25-Oct-2024 20:06               11885
libcotp-3.1.0-r0.apk                               25-Oct-2024 20:06                7991
libcotp-dev-3.1.0-r0.apk                           25-Oct-2024 20:06                2525
libcpdf-2.8.1-r0.apk                               14-May-2025 21:14             2368864
libcpdf-dev-2.8.1-r0.apk                           14-May-2025 21:14               17149
libcpdf-static-2.8.1-r0.apk                        14-May-2025 21:14             3397663
libctl-4.5.1-r1.apk                                25-Oct-2024 20:06               89072
libctl-dev-4.5.1-r1.apk                            25-Oct-2024 20:06               39479
libctl-doc-4.5.1-r1.apk                            25-Oct-2024 20:06                3091
libcyaml-1.4.2-r0.apk                              25-Oct-2024 20:06               19165
libcyaml-dev-1.4.2-r0.apk                          25-Oct-2024 20:06               13165
libcyaml-doc-1.4.2-r0.apk                          25-Oct-2024 20:06                8853
libcyaml-static-1.4.2-r0.apk                       25-Oct-2024 20:06               21947
libdbusaccess-1.0.20-r0.apk                        13-Apr-2025 22:26               15303
libdbusaccess-dev-1.0.20-r0.apk                    13-Apr-2025 22:26                5123
libdcmtk-3.6.9-r0.apk                              07-Apr-2025 22:06             6737375
libdng-0.2.1-r0.apk                                27-Dec-2024 22:09               10998
libdng-dev-0.2.1-r0.apk                            27-Dec-2024 22:09                3274
libdng-doc-0.2.1-r0.apk                            27-Dec-2024 22:09                4298
libdng-utils-0.2.1-r0.apk                          27-Dec-2024 22:09                5989
libeantic-2.1.0-r0.apk                             14-May-2025 21:14               76027
libeantic-dev-2.1.0-r0.apk                         14-May-2025 21:14               17917
libecap-1.0.1-r1.apk                               25-Oct-2024 20:06               13593
libecap-dev-1.0.1-r1.apk                           25-Oct-2024 20:06               11698
libecap-static-1.0.1-r1.apk                        25-Oct-2024 20:06               19272
libemf2svg-1.1.0-r2.apk                            25-Oct-2024 20:06              145205
libemf2svg-utils-1.1.0-r2.apk                      25-Oct-2024 20:06               18190
liberasurecode-1.6.3-r1.apk                        25-Oct-2024 20:06               36765
liberasurecode-dev-1.6.3-r1.apk                    25-Oct-2024 20:06               18703
libettercap-0.8.3.1-r3.apk                         25-Oct-2024 20:06              198641
libfishsound-1.0.0-r1.apk                          25-Oct-2024 20:06                8102
libfishsound-dev-1.0.0-r1.apk                      25-Oct-2024 20:06               55296
libfishsound-doc-1.0.0-r1.apk                      25-Oct-2024 20:06               77126
libfoma-0.10.0_git20240712-r0.apk                  25-Oct-2024 20:06               98310
libfort-0.4.2-r0.apk                               25-Oct-2024 20:06               29735
libfort-dev-0.4.2-r0.apk                           25-Oct-2024 20:06               17848
libfyaml-0.9-r0.apk                                25-Oct-2024 20:06              255154
libfyaml-dev-0.9-r0.apk                            25-Oct-2024 20:06               43349
libfyaml-doc-0.9-r0.apk                            25-Oct-2024 20:06                7573
libgivaro-4.2.0-r2.apk                             25-Oct-2024 20:06               75330
libgivaro-dev-4.2.0-r2.apk                         25-Oct-2024 20:06              250285
libgivaro-static-4.2.0-r2.apk                      25-Oct-2024 20:06               96818
libglib-testing-0.1.1-r0.apk                       14-May-2025 21:14               12328
libglib-testing-dev-0.1.1-r0.apk                   14-May-2025 21:14                5648
libglib-testing-doc-0.1.1-r0.apk                   14-May-2025 21:14               25620
libgrapheme-1-r0.apk                               25-Oct-2024 20:06                9648
libgrapheme-dev-1-r0.apk                           25-Oct-2024 20:06                9979
libgrapheme-doc-1-r0.apk                           25-Oct-2024 20:06                8157
libguestfs-1.52.0-r1.apk                           25-Oct-2024 20:06              351505
libguestfs-dev-1.52.0-r1.apk                       25-Oct-2024 20:06               30016
libguestfs-doc-1.52.0-r1.apk                       25-Oct-2024 20:06              573259
libguestfs-static-1.52.0-r1.apk                    25-Oct-2024 20:06              484901
libhomfly-1.02_p6-r1.apk                           25-Oct-2024 20:06               14303
libhomfly-dev-1.02_p6-r1.apk                       25-Oct-2024 20:06               17275
libhwpwm-0.4.4-r0.apk                              25-Oct-2024 20:06                6105
libhwpwm-dev-0.4.4-r0.apk                          25-Oct-2024 20:06                5508
libhwpwm-doc-0.4.4-r0.apk                          25-Oct-2024 20:06               13334
libideviceactivation-1.1.1-r5.apk                  30-Oct-2024 22:44               16168
libideviceactivation-dev-1.1.1-r5.apk              30-Oct-2024 22:44                3391
libideviceactivation-doc-1.1.1-r5.apk              30-Oct-2024 22:44                2230
libigraph-0.10.15-r1.apk                           29-May-2025 11:57             1147151
libigraph-dev-0.10.15-r1.apk                       29-May-2025 11:57               92676
libiio-0.25-r2.apk                                 25-Oct-2024 20:06               48104
libiio-dev-0.25-r2.apk                             25-Oct-2024 20:06               13673
libiio-doc-0.25-r2.apk                             25-Oct-2024 20:06               18289
libiio-pyc-0.25-r2.apk                             25-Oct-2024 20:06               21454
libiio-tools-0.25-r2.apk                           25-Oct-2024 20:06               72302
libiml-1.0.5-r3.apk                                25-Oct-2024 20:06               63413
libiml-dev-1.0.5-r3.apk                            25-Oct-2024 20:06                4015
libiml-static-1.0.5-r3.apk                         25-Oct-2024 20:06               63844
libinfnoise-0.3.3-r0.apk                           26-May-2025 08:58               13935
libirecovery-1.2.1-r0.apk                          30-Oct-2024 22:44               24971
libirecovery-dev-1.2.1-r0.apk                      30-Oct-2024 22:44                4182
libirecovery-progs-1.2.1-r0.apk                    30-Oct-2024 22:44                8438
libiscsi-1.19.0-r2.apk                             25-Oct-2024 20:06               57323
libiscsi-dev-1.19.0-r2.apk                         25-Oct-2024 20:06               20898
libiscsi-doc-1.19.0-r2.apk                         25-Oct-2024 20:06                9505
libiscsi-static-1.19.0-r2.apk                      25-Oct-2024 20:06               71946
libiscsi-utils-1.19.0-r2.apk                       25-Oct-2024 20:06               83580
libjodycode-3.1.1-r0.apk                           25-Oct-2024 20:06                7516
libjodycode-dev-3.1.1-r0.apk                       25-Oct-2024 20:06                4314
libjodycode-doc-3.1.1-r0.apk                       25-Oct-2024 20:06                3752
liblastfm-qt-1.1.10_git20190823-r3.apk             25-Oct-2024 20:06              155635
liblastfm-qt-dev-1.1.10_git20190823-r3.apk         25-Oct-2024 20:06               20093
libm4ri-20240729-r2.apk                            15-Jan-2025 18:06              134811
libm4ri-dev-20240729-r2.apk                        15-Jan-2025 18:06               32268
libm4ri-static-20240729-r2.apk                     15-Jan-2025 18:06              145091
libm4rie-20200125-r5.apk                           15-Jan-2025 18:06              228161
libm4rie-dev-20200125-r5.apk                       15-Jan-2025 18:06               24981
libm4rie-static-20200125-r5.apk                    15-Jan-2025 18:06              241993
libmdbx-0.11.8-r0.apk                              25-Oct-2024 20:06              738894
libmdbx-dbg-0.11.8-r0.apk                          25-Oct-2024 20:06             2417513
libmdbx-dev-0.11.8-r0.apk                          25-Oct-2024 20:06               95428
libmdbx-doc-0.11.8-r0.apk                          25-Oct-2024 20:06                9063
libmdf-1.0.29-r0.apk                               25-Oct-2024 20:06               35594
libmdf-dev-1.0.29-r0.apk                           25-Oct-2024 20:06               14149
libmhash-0.9.9.9-r3.apk                            25-Oct-2024 20:06              103126
libmhash-dev-0.9.9.9-r3.apk                        25-Oct-2024 20:06              115602
libmhash-doc-0.9.9.9-r3.apk                        25-Oct-2024 20:06                8346
libmpfi-1.5.4-r2.apk                               25-Oct-2024 20:06               28652
libmpfi-dev-1.5.4-r2.apk                           25-Oct-2024 20:06                5485
libmpfi-doc-1.5.4-r2.apk                           25-Oct-2024 20:06               19175
libmpfi-static-1.5.4-r2.apk                        25-Oct-2024 20:06               42509
libmrss-0.19.2-r1.apk                              25-Oct-2024 20:06               18862
libmrss-dev-0.19.2-r1.apk                          25-Oct-2024 20:06               29879
libmustache-0.5.0-r1.apk                           25-Oct-2024 20:06               83182
libmygpo-qt-1.1.0-r2.apk                           25-Oct-2024 20:06               70092
libmygpo-qt-dev-1.1.0-r2.apk                       25-Oct-2024 20:06               12140
libmysofa-1.3.2-r0.apk                             25-Oct-2024 20:06               25372
libmysofa-dev-1.3.2-r0.apk                         25-Oct-2024 20:06                7163
libmysofa-tools-1.3.2-r0.apk                       25-Oct-2024 20:06             1122124
libnest2d-0.4-r7.apk                               06-Feb-2025 04:42                1243
libnest2d-dev-0.4-r7.apk                           06-Feb-2025 04:42               71545
libnfc-1.8.0-r1.apk                                25-Oct-2024 20:06               57737
libnfc-dev-1.8.0-r1.apk                            25-Oct-2024 20:06                8079
libnfc-doc-1.8.0-r1.apk                            25-Oct-2024 20:06               22503
libnfc-tools-1.8.0-r1.apk                          25-Oct-2024 20:06               61678
libnfcdef-1.0.1-r0.apk                             13-Apr-2025 22:26               11681
libnfcdef-dev-1.0.1-r0.apk                         13-Apr-2025 22:26                5874
libnih-1.0.3-r7.apk                                25-Oct-2024 20:06              122711
libnih-dev-1.0.3-r7.apk                            25-Oct-2024 20:06              119671
libnih-doc-1.0.3-r7.apk                            25-Oct-2024 20:06                2771
libntl-11.5.1-r4.apk                               25-Oct-2024 20:06             1078186
libntl-dev-11.5.1-r4.apk                           25-Oct-2024 20:06              162490
libntl-doc-11.5.1-r4.apk                           25-Oct-2024 20:06              382541
libntl-static-11.5.1-r4.apk                        25-Oct-2024 20:06             1618437
libnxml-0.18.3-r0.apk                              25-Oct-2024 20:06               19946
libnxml-dev-0.18.3-r0.apk                          25-Oct-2024 20:06               28947
libofx-0.10.9-r1.apk                               25-Oct-2024 20:06               63790
libofx-dev-0.10.9-r1.apk                           25-Oct-2024 20:06               20033
libofx-tools-0.10.9-r1.apk                         25-Oct-2024 20:06              106284
liboggz-1.1.1-r2.apk                               25-Oct-2024 20:06              120452
liboggz-dev-1.1.1-r2.apk                           25-Oct-2024 20:06              158607
liboggz-doc-1.1.1-r2.apk                           25-Oct-2024 20:06              137454
libqd-2.3.24-r0.apk                                25-Oct-2024 20:06              150890
libqd-dev-2.3.24-r0.apk                            25-Oct-2024 20:06               59133
libqd-doc-2.3.24-r0.apk                            25-Oct-2024 20:06              186813
libqd-static-2.3.24-r0.apk                         25-Oct-2024 20:06              241299
libqtdbusmock-0.9.1-r2.apk                         17-Feb-2025 12:07               64868
libqtdbustest-0.3.3-r1.apk                         17-Feb-2025 12:07               29497
libraqm-0.10.2-r0.apk                              25-Oct-2024 20:06               10106
libraqm-dev-0.10.2-r0.apk                          25-Oct-2024 20:06                4643
libraqm-doc-0.10.2-r0.apk                          25-Oct-2024 20:06               21631
libre-3.20.0-r0.apk                                25-Feb-2025 12:18              263496
libre-dev-3.20.0-r0.apk                            25-Feb-2025 12:18              437807
libreoffice-voikko-5.0_git20200127-r0.apk          25-Oct-2024 20:06               46460
librespot-0.6.0-r0.apk                             01-Nov-2024 21:57             3018438
librespot-openrc-0.6.0-r0.apk                      01-Nov-2024 21:57                1852
libresprite-1.2-r0.apk                             13-Apr-2025 22:16            15377837
libresprite-doc-1.2-r0.apk                         13-Apr-2025 22:16               15073
libretro-atari800-0_git20240924-r0.apk             25-Oct-2024 20:06              275290
libretro-beetle-pce-fast-0_git20220205-r0.apk      25-Oct-2024 20:06              351040
libretro-beetle-pcfx-0_git20220409-r0.apk          25-Oct-2024 20:06              287707
libretro-beetle-saturn-0_git20220417-r0.apk        25-Oct-2024 20:06             1479893
libretro-beetle-supergrafx-0_git20220218-r0.apk    25-Oct-2024 20:06              360867
libretro-bluemsx-0_git20240808-r0.apk              25-Oct-2024 20:06              604477
libretro-cannonball-0_git20220309-r6.apk           25-Oct-2024 20:06              228269
libretro-cap32-0_git20220419-r0.apk                25-Oct-2024 20:06              300413
libretro-crocods-0_git20210314-r1.apk              25-Oct-2024 20:06              264514
libretro-daphne-0_git20210108-r2.apk               25-Oct-2024 20:06              575032
libretro-dinothawr-0_git20220401-r0.apk            25-Oct-2024 20:06              122095
libretro-fbneo-0_git20220416-r0.apk                25-Oct-2024 20:06            10155389
libretro-freeintv-0_git20220319-r0.apk             25-Oct-2024 20:06               33605
libretro-frodo-0_git20221221-r0.apk                25-Oct-2024 20:06              150414
libretro-fuse-0_git20220417-r0.apk                 25-Oct-2024 20:06              861182
libretro-genesis-plus-gx-0_git20230503-r0.apk      25-Oct-2024 20:06              853290
libretro-gme-0_git20240628-r0.apk                  25-Oct-2024 20:06              177399
libretro-gong-0_git20220319-r0.apk                 25-Oct-2024 20:06                8794
libretro-gw-0_git20220410-r0.apk                   25-Oct-2024 20:06              165651
libretro-mame2000-0_git20240701-r0.apk             25-Oct-2024 20:06             2739507
libretro-mame2003-0_git20240904-r0.apk             25-Oct-2024 20:06             7013131
libretro-mu-0_git20220317-r0.apk                   25-Oct-2024 20:06              147792
libretro-neocd-0_git20220325-r1.apk                25-Oct-2024 20:06              395884
libretro-nxengine-0_git20220301-r0.apk             25-Oct-2024 20:06              277754
libretro-openlara-0_git20210121-r0.apk             25-Oct-2024 20:06              468187
libretro-opera-0_git20211214-r0.apk                25-Oct-2024 20:06              165104
libretro-parallel-n64-0_git20220406-r0.apk         25-Oct-2024 20:06              885655
libretro-picodrive-0_git20220405-r0.apk            25-Oct-2024 20:06              450133
libretro-pocketcdg-0_git20220327-r0.apk            25-Oct-2024 20:06               84061
libretro-ppsspp-0_git20210516-r15.apk              10-Apr-2025 20:24             2462505
libretro-scummvm-0_git20210325-r0.apk              25-Oct-2024 20:06            18692091
libretro-snes9x-0_git20240819-r0.apk               25-Oct-2024 20:06              704254
libretro-theodore-3.1-r0.apk                       25-Oct-2024 20:06              891593
libretro-tyrquake-0_git20220409-r0.apk             25-Oct-2024 20:06              376996
libretro-xrick-0_git20220331-r0.apk                25-Oct-2024 20:06              113903
libsbsms-2.3.0-r0.apk                              25-Oct-2024 20:06               97369
libsbsms-dev-2.3.0-r0.apk                          25-Oct-2024 20:06              129530
libsds-2.0.0-r1.apk                                25-Oct-2024 20:06                9861
libsds-dev-2.0.0-r1.apk                            25-Oct-2024 20:06                3870
libsemanage-3.6-r1.apk                             25-Oct-2024 20:06               91317
libsemanage-dev-3.6-r1.apk                         25-Oct-2024 20:06              140441
libsemanage-doc-3.6-r1.apk                         25-Oct-2024 20:06               23464
libsemigroups-2.7.3-r1.apk                         14-Dec-2024 18:56              624817
libsemigroups-dev-2.7.3-r1.apk                     14-Dec-2024 18:56              342534
libsemigroups-static-2.7.3-r1.apk                  14-Dec-2024 18:56             1469660
libserialport-0.1.1-r1.apk                         25-Oct-2024 20:06               22442
libserialport-dev-0.1.1-r1.apk                     25-Oct-2024 20:06               39692
libsigrok-0.5.2-r3.apk                             25-Oct-2024 20:06              490169
libsigrok-dev-0.5.2-r3.apk                         25-Oct-2024 20:06               31409
libsigrokdecode-0.5.3-r4.apk                       25-Oct-2024 20:06              341304
libsigrokdecode-dev-0.5.3-r4.apk                   25-Oct-2024 20:06               37885
libsimpleble-0.8.1-r0.apk                          25-Feb-2025 22:20              175094
libsimpleble-c-0.8.1-r0.apk                        25-Feb-2025 22:20               14486
libsimplebluez-0.8.1-r0.apk                        25-Feb-2025 22:20              122803
libsirocco-2.1.0-r2.apk                            25-Oct-2024 20:06               57998
libsirocco-dev-2.1.0-r2.apk                        25-Oct-2024 20:06                1918
libspatialindex-2.1.0-r0.apk                       31-May-2025 10:08              317585
libspatialindex-dev-2.1.0-r0.apk                   31-May-2025 10:08               21930
libstirshaken-0_git20240208-r4.apk                 29-Apr-2025 19:46               53717
libstirshaken-dev-0_git20240208-r4.apk             29-Apr-2025 19:46               83113
libstirshaken-tools-0_git20240208-r4.apk           29-Apr-2025 19:46              162649
libsymmetrica-3.0.1-r2.apk                         25-Oct-2024 20:06             4239791
libsymmetrica-dev-3.0.1-r2.apk                     25-Oct-2024 20:06               32806
libsymmetrica-static-3.0.1-r2.apk                  25-Oct-2024 20:06             4688502
libtins-4.5-r1.apk                                 25-Oct-2024 20:06              302704
libtins-dev-4.5-r1.apk                             25-Oct-2024 20:06              144713
libtins-doc-4.5-r1.apk                             25-Oct-2024 20:06                2373
libtommath-1.2.1-r1.apk                            25-May-2025 07:49               35713
libtommath-dev-1.2.1-r1.apk                        25-May-2025 07:49               61514
libtsm-4.0.2-r1.apk                                25-Oct-2024 20:06               24675
libtsm-dev-4.0.2-r1.apk                            25-Oct-2024 20:06                9754
libucl-0.9.0-r0.apk                                25-Oct-2024 20:06               52770
libucl-dev-0.9.0-r0.apk                            25-Oct-2024 20:06               79388
libucl-doc-0.9.0-r0.apk                            25-Oct-2024 20:06                9047
libuecc-7-r4.apk                                   03-Mar-2025 16:08                9098
libuecc-dev-7-r4.apk                               03-Mar-2025 16:08                4745
libuninameslist-20230916-r0.apk                    25-Oct-2024 20:06              435374
libuninameslist-dev-20230916-r0.apk                25-Oct-2024 20:06                3526
libuninameslist-doc-20230916-r0.apk                25-Oct-2024 20:06                2067
libupstart-2.0.3-r5.apk                            25-Oct-2024 20:06               74127
libvdpau-va-gl-0.4.2-r0.apk                        25-Oct-2024 20:06               52557
libvisio2svg-0.5.5-r3.apk                          25-Oct-2024 20:06               13692
libvisio2svg-dev-0.5.5-r3.apk                      25-Oct-2024 20:06                2989
libvisio2svg-utils-0.5.5-r3.apk                    25-Oct-2024 20:06              111975
libvmaf-3.0.0-r0.apk                               25-Oct-2024 20:06              332313
libvmaf-dev-3.0.0-r0.apk                           25-Oct-2024 20:06              194107
libvoikko-4.3.2-r1.apk                             25-Oct-2024 20:06              119062
libvoikko-dev-4.3.2-r1.apk                         25-Oct-2024 20:06               10145
libvoikko-doc-4.3.2-r1.apk                         25-Oct-2024 20:06                5862
libwbxml-0.11.8-r0.apk                             25-Oct-2024 20:06               62936
libwbxml-dev-0.11.8-r0.apk                         25-Oct-2024 20:06                9175
libwbxml-doc-0.11.8-r0.apk                         25-Oct-2024 20:06               28946
libwhich-1.2.0-r0.apk                              25-Oct-2024 20:06                4762
libwmiclient-1.3.16-r5.apk                         25-Oct-2024 20:06                1487
libwmiclient-dev-1.3.16-r5.apk                     25-Oct-2024 20:06                1735
libxml++-5.4.0-r0.apk                              13-Feb-2025 07:45               62553
libxml++-dev-5.4.0-r0.apk                          13-Feb-2025 07:45               30073
libxo-1.7.5-r0.apk                                 12-Jan-2025 22:45              179321
libxo-dev-1.7.5-r0.apk                             12-Jan-2025 22:45               73527
libxo-doc-1.7.5-r0.apk                             12-Jan-2025 22:45               64323
libzn_poly-0.9.2-r2.apk                            25-Oct-2024 20:06               43681
libzn_poly-dev-0.9.2-r2.apk                        25-Oct-2024 20:06                8342
libzn_poly-static-0.9.2-r2.apk                     25-Oct-2024 20:06               46397
libzrtpcpp-4.7.0-r0.apk                            04-Jan-2025 21:55              167763
libzrtpcpp-dev-4.7.0-r0.apk                        04-Jan-2025 21:55               38765
libzvbi-0.2.44-r0.apk                              11-Mar-2025 20:40              227011
libzvbi-dev-0.2.44-r0.apk                          11-Mar-2025 20:40               14650
libzvbi-static-0.2.44-r0.apk                       11-Mar-2025 20:40              280418
licenseheaders-0.8.8-r4.apk                        25-Oct-2024 20:06               18319
licenseheaders-pyc-0.8.8-r4.apk                    25-Oct-2024 20:06               18852
limnoria-20240828-r0.apk                           25-Oct-2024 20:06             1130318
limnoria-doc-20240828-r0.apk                       25-Oct-2024 20:06                8599
limnoria-pyc-20240828-r0.apk                       25-Oct-2024 20:06             1274955
linkchecker-10.5.0-r0.apk                          18-May-2025 23:04              185360
linkchecker-doc-10.5.0-r0.apk                      18-May-2025 23:04               40026
linkchecker-pyc-10.5.0-r0.apk                      18-May-2025 23:04              259788
linphone-5.3.38-r0.apk                             25-Oct-2024 20:06             9439879
linphone-dev-5.3.38-r0.apk                         25-Oct-2024 20:06              255714
linphone-libs-5.3.38-r0.apk                        25-Oct-2024 20:06             2731061
linux-apfs-rw-src-0.3.8-r0.apk                     25-Oct-2024 20:06              201774
linux-timemachine-1.3.2-r0.apk                     25-Oct-2024 20:06                5176
linuxptp-4.4-r0.apk                                19-Nov-2024 22:34                1245
linuxptp-doc-4.4-r0.apk                            19-Nov-2024 22:34               39366
linuxptp-hwstamp_ctl-4.4-r0.apk                    19-Nov-2024 22:34                4469
linuxptp-nsm-4.4-r0.apk                            19-Nov-2024 22:34               36157
linuxptp-phc2sys-4.4-r0.apk                        19-Nov-2024 22:34               41298
linuxptp-phc_ctl-4.4-r0.apk                        19-Nov-2024 22:34               11042
linuxptp-pmc-4.4-r0.apk                            19-Nov-2024 22:34               39510
linuxptp-ptp4l-4.4-r0.apk                          19-Nov-2024 22:34               85430
linuxptp-timemaster-4.4-r0.apk                     19-Nov-2024 22:34               15803
linuxptp-ts2phc-4.4-r0.apk                         19-Nov-2024 22:34               40189
linuxptp-tz2alt-4.4-r0.apk                         19-Nov-2024 22:34               21432
liquibase-4.9.1-r0.apk                             25-Oct-2024 20:06            33161796
liquibase-doc-4.9.1-r0.apk                         25-Oct-2024 20:06               58256
litehtml-0.9-r2.apk                                17-Feb-2025 12:07              287698
litehtml-dev-0.9-r2.apk                            17-Feb-2025 12:07               42649
litehtml-static-0.9-r2.apk                         17-Feb-2025 12:07              496648
litterbox-1.9-r1.apk                               25-Oct-2024 20:06               32239
litterbox-doc-1.9-r1.apk                           25-Oct-2024 20:06                7421
lizardfs-3.13.0-r15.apk                            17-Feb-2025 12:07              140274
lizardfs-bash-completion-3.13.0-r15.apk            17-Feb-2025 12:07                1869
lizardfs-cgi-3.13.0-r15.apk                        17-Feb-2025 12:07               32156
lizardfs-cgiserv-3.13.0-r15.apk                    17-Feb-2025 12:07                7483
lizardfs-cgiserv-openrc-3.13.0-r15.apk             17-Feb-2025 12:07                1962
lizardfs-chunkserver-3.13.0-r15.apk                17-Feb-2025 12:07              360849
lizardfs-chunkserver-openrc-3.13.0-r15.apk         17-Feb-2025 12:07                1641
lizardfs-client-3.13.0-r15.apk                     17-Feb-2025 12:07             1243974
lizardfs-doc-3.13.0-r15.apk                        17-Feb-2025 12:07               11657
lizardfs-master-3.13.0-r15.apk                     17-Feb-2025 12:07              931735
lizardfs-master-openrc-3.13.0-r15.apk              17-Feb-2025 12:07                1629
lizardfs-metalogger-3.13.0-r15.apk                 17-Feb-2025 12:07              157053
lizardfs-metalogger-openrc-3.13.0-r15.apk          17-Feb-2025 12:07                1631
lkrg-0.9.6-r1.apk                                  09-Jan-2025 11:44              107246
lkrg-doc-0.9.6-r1.apk                              09-Jan-2025 11:44               22088
llmnrd-0.7-r1.apk                                  25-Oct-2024 20:06               17934
llmnrd-doc-0.7-r1.apk                              25-Oct-2024 20:06                3108
llmnrd-openrc-0.7-r1.apk                           25-Oct-2024 20:06                1935
lockrun-1.1.3-r1.apk                               25-Oct-2024 20:06                5454
log4cpp-1.1.4-r1.apk                               25-Oct-2024 20:06               70624
log4cpp-dev-1.1.4-r1.apk                           25-Oct-2024 20:06               39836
log4cxx-1.1.0-r3.apk                               17-Feb-2025 12:07              482439
log4cxx-dev-1.1.0-r3.apk                           17-Feb-2025 12:07              138445
logc-0.5.0-r0.apk                                  25-Oct-2024 20:06                7457
logc-argp-0.5.0-r0.apk                             25-Oct-2024 20:06               15257
logc-config-0.5.0-r0.apk                           25-Oct-2024 20:06                4925
logc-czmq-0.1.0-r0.apk                             25-Oct-2024 20:06                3963
logc-dev-0.5.0-r0.apk                              25-Oct-2024 20:06                9091
logc-libevent-0.1.0-r0.apk                         25-Oct-2024 20:06                3350
logc-libs-0.1.0-r0.apk                             25-Oct-2024 20:06                1466
logc-libs-dev-0.1.0-r0.apk                         25-Oct-2024 20:06                5557
logtop-0.7-r0.apk                                  25-Oct-2024 20:06               12297
logtop-doc-0.7-r0.apk                              25-Oct-2024 20:06                2884
logtop-libs-0.7-r0.apk                             25-Oct-2024 20:06               13352
logwatch-7.10-r1.apk                               25-Oct-2024 20:06              495070
logwatch-doc-7.10-r1.apk                           25-Oct-2024 20:06               39010
lol-html-1.1.1-r1.apk                              25-Oct-2024 20:06              452991
lol-html-dev-1.1.1-r1.apk                          25-Oct-2024 20:06                6671
lolcat-1.4-r0.apk                                  25-Oct-2024 20:06                9936
lomiri-action-api-1.2.0-r0.apk                     11-Apr-2025 05:45               75544
lomiri-action-api-dev-1.2.0-r0.apk                 11-Apr-2025 05:45                5080
lomiri-api-0.2.2-r1.apk                            17-Feb-2025 12:07               31825
lomiri-api-dev-0.2.2-r1.apk                        17-Feb-2025 12:07               32836
lomiri-app-launch-0.1.12-r0.apk                    14-May-2025 21:14              316865
lomiri-app-launch-dev-0.1.12-r0.apk                14-May-2025 21:14               20555
lomiri-download-manager-0.2.1-r0.apk               13-Apr-2025 23:28              548129
lomiri-download-manager-dev-0.2.1-r0.apk           13-Apr-2025 23:28               17327
lomiri-download-manager-doc-0.2.1-r0.apk           13-Apr-2025 23:28             3030503
lomiri-download-manager-lang-0.2.1-r0.apk          13-Apr-2025 23:28               30271
lomiri-history-service-0.6-r6.apk                  23-May-2025 22:27              334514
lomiri-history-service-dev-0.6-r6.apk              23-May-2025 22:27               11388
lomiri-location-service-3.3.0-r3.apk               19-May-2025 14:23             2094234
lomiri-location-service-dev-3.3.0-r3.apk           19-May-2025 14:23               30560
lomiri-location-service-doc-3.3.0-r3.apk           19-May-2025 14:23                2950
lomiri-location-service-lang-3.3.0-r3.apk          19-May-2025 14:23               26384
lomiri-notifications-1.3.1-r0.apk                  10-Jan-2025 10:05               90101
lomiri-schemas-0.1.8-r0.apk                        14-May-2025 21:14               10652
lomiri-settings-components-1.1.2-r0.apk            10-Jan-2025 10:05              223034
lomiri-settings-components-lang-1.1.2-r0.apk       10-Jan-2025 10:05              100149
lomiri-sounds-22.02-r1.apk                         13-Mar-2025 06:01            18837721
lomiri-thumbnailer-3.0.4-r2.apk                    17-Feb-2025 12:07              210376
lomiri-thumbnailer-dev-3.0.4-r2.apk                17-Feb-2025 12:07                5171
lomiri-thumbnailer-doc-3.0.4-r2.apk                17-Feb-2025 12:07                1512
lomiri-trust-store-2.0.2-r8.apk                    27-Feb-2025 21:26              933091
lomiri-trust-store-dev-2.0.2-r8.apk                27-Feb-2025 21:26                9288
lomiri-trust-store-lang-2.0.2-r8.apk               27-Feb-2025 21:26               28299
lomiri-url-dispatcher-0.1.4-r0.apk                 14-May-2025 21:14               37131
lomiri-url-dispatcher-dev-0.1.4-r0.apk             14-May-2025 21:14                3385
lomiri-url-dispatcher-lang-0.1.4-r0.apk            14-May-2025 21:14               26562
lotide-0.15.0-r0.apk                               25-Oct-2024 20:06             3780586
lotide-openrc-0.15.0-r0.apk                        25-Oct-2024 20:06                3171
lout-3.42.2-r0.apk                                 25-Oct-2024 20:06             1461133
lout-doc-3.42.2-r0.apk                             25-Oct-2024 20:06              463392
lowjs-1.6.2-r2.apk                                 25-Oct-2024 20:06             1394098
lowjs-doc-1.6.2-r2.apk                             25-Oct-2024 20:06                3041
lrcalc-2.1-r1.apk                                  25-Oct-2024 20:06               11017
lrcalc-dev-2.1-r1.apk                              25-Oct-2024 20:06               11606
lrcalc-libs-2.1-r1.apk                             25-Oct-2024 20:06               23523
lsdvd-0.17-r0.apk                                  25-Oct-2024 20:06               13586
lsdvd-doc-0.17-r0.apk                              25-Oct-2024 20:06                2525
lshell-0.9.18-r11.apk                              25-Oct-2024 20:06               37332
lshell-doc-0.9.18-r11.apk                          25-Oct-2024 20:06               25796
lshell-pyc-0.9.18-r11.apk                          25-Oct-2024 20:06               36165
lsix-1.8.2-r0.apk                                  25-Oct-2024 20:06                6643
lsmash-2.14.5-r2.apk                               25-Oct-2024 20:06              279680
lsmash-dev-2.14.5-r2.apk                           25-Oct-2024 20:06              350114
lua-editorconfig-0.3.0-r0.apk                      25-Oct-2024 20:06                1227
lua-fn-0.1.0-r0.apk                                25-Oct-2024 20:06                3460
lua-inet-0.2.0-r1.apk                              25-Oct-2024 20:06                9350
lua-lanes-3.16.0-r1.apk                            25-Oct-2024 20:06                1457
lua-lcurses-9.0.0-r0.apk                           25-Oct-2024 20:06                1215
lua-libmodbus-0.6.1-r0.apk                         25-Oct-2024 20:06                1209
lua-libmodbus-doc-0.6.1-r0.apk                     25-Oct-2024 20:06               19562
lua-linenoise-0.9-r1.apk                           25-Oct-2024 20:06                1196
lua-luastatic-0.0.12-r1.apk                        25-Oct-2024 20:06                1498
lua-lupa-1.0-r0.apk                                25-Oct-2024 20:06               20041
lua-lut-1.2.1-r0.apk                               25-Oct-2024 20:06               90803
lua-psl-0.3-r0.apk                                 25-Oct-2024 20:06                1159
lua-resty-redis-0.29-r0.apk                        25-Oct-2024 20:06                5453
lua-resty-upload-0.11-r0.apk                       25-Oct-2024 20:06                3700
lua-xml-1.1.3-r2.apk                               25-Oct-2024 20:06                1465
lua5.1-lanes-3.16.0-r1.apk                         25-Oct-2024 20:06               60481
lua5.1-lcurses-9.0.0-r0.apk                        25-Oct-2024 20:06               22854
lua5.1-libguestfs-1.52.0-r1.apk                    25-Oct-2024 20:06               79322
lua5.1-libmodbus-0.6.1-r0.apk                      25-Oct-2024 20:06                9112
lua5.1-linenoise-0.9-r1.apk                        25-Oct-2024 20:06               16228
lua5.1-luacov-0.15.0-r0.apk                        25-Oct-2024 20:06               23842
lua5.1-luacov-html-1.0.0-r1.apk                    25-Oct-2024 20:06              422630
lua5.1-luastatic-0.0.12-r1.apk                     25-Oct-2024 20:06               69722
lua5.1-psl-0.3-r0.apk                              25-Oct-2024 20:06                5522
lua5.1-xml-1.1.3-r2.apk                            25-Oct-2024 20:06               22618
lua5.2-editorconfig-0.3.0-r0.apk                   25-Oct-2024 20:06                4227
lua5.2-lanes-3.16.0-r1.apk                         25-Oct-2024 20:06               60305
lua5.2-libmodbus-0.6.1-r0.apk                      25-Oct-2024 20:06                9100
lua5.2-linenoise-0.9-r1.apk                        25-Oct-2024 20:06               16268
lua5.2-luacov-0.15.0-r0.apk                        25-Oct-2024 20:06               23842
lua5.2-luacov-html-1.0.0-r1.apk                    25-Oct-2024 20:06              422662
lua5.2-luastatic-0.0.12-r1.apk                     25-Oct-2024 20:06                9004
lua5.2-psl-0.3-r0.apk                              25-Oct-2024 20:06                5425
lua5.2-xml-1.1.3-r2.apk                            25-Oct-2024 20:06               22529
lua5.3-apk3-3.0.0_rc4_git20250421-r0.apk           14-May-2025 21:14                5688
lua5.3-editorconfig-0.3.0-r0.apk                   25-Oct-2024 20:06                4281
lua5.3-lanes-3.16.0-r1.apk                         25-Oct-2024 20:06               61651
lua5.3-linenoise-0.9-r1.apk                        25-Oct-2024 20:06               16270
lua5.3-luacov-0.15.0-r0.apk                        25-Oct-2024 20:06               23847
lua5.3-luacov-html-1.0.0-r1.apk                    25-Oct-2024 20:06              422673
lua5.3-luastatic-0.0.12-r1.apk                     25-Oct-2024 20:06                9046
lua5.3-psl-0.3-r0.apk                              25-Oct-2024 20:06                5471
lua5.4-editorconfig-0.3.0-r0.apk                   25-Oct-2024 20:06                4276
lua5.4-lanes-3.16.0-r1.apk                         25-Oct-2024 20:06               61143
lua5.4-linenoise-0.9-r1.apk                        25-Oct-2024 20:06               16256
lua5.4-luacov-0.15.0-r0.apk                        25-Oct-2024 20:06               23852
lua5.4-luastatic-0.0.12-r1.apk                     25-Oct-2024 20:06                9123
luacov-0.15.0-r0.apk                               25-Oct-2024 20:06                1484
luacov-html-1.0.0-r1.apk                           25-Oct-2024 20:06                1244
luapak-0.1.0_beta5-r0.apk                          25-Oct-2024 20:06               36151
luksmeta-9-r0.apk                                  25-Oct-2024 20:06               12810
luksmeta-dev-9-r0.apk                              25-Oct-2024 20:06                3171
luksmeta-doc-9-r0.apk                              25-Oct-2024 20:06                5614
lumina-desktop-1.6.2-r0.apk                        25-Oct-2024 20:06                1267
lumina-desktop-archiver-1.6.2-r0.apk               25-Oct-2024 20:06              160625
lumina-desktop-core-1.6.2-r0.apk                   25-Oct-2024 20:06             9277207
lumina-desktop-coreutils-1.6.2-r0.apk              25-Oct-2024 20:06              804940
lumina-desktop-doc-1.6.2-r0.apk                    25-Oct-2024 20:06               11781
lumina-desktop-fileinfo-1.6.2-r0.apk               25-Oct-2024 20:06              153958
lumina-desktop-fm-1.6.2-r0.apk                     25-Oct-2024 20:06              381999
lumina-desktop-mediaplayer-1.6.2-r0.apk            25-Oct-2024 20:06              194648
lumina-desktop-photo-1.6.2-r0.apk                  25-Oct-2024 20:06              120044
lumina-desktop-screenshot-1.6.2-r0.apk             25-Oct-2024 20:06              159683
lumina-desktop-sudo-1.6.2-r0.apk                   25-Oct-2024 20:06               91702
lumina-desktop-textedit-1.6.2-r0.apk               25-Oct-2024 20:06              185750
lumins-0.4.0-r2.apk                                25-Oct-2024 20:06              681341
lutgen-0.11.2-r0.apk                               25-Oct-2024 20:06             1705129
lutgen-bash-completion-0.11.2-r0.apk               25-Oct-2024 20:06                1722
lutgen-doc-0.11.2-r0.apk                           25-Oct-2024 20:06                4287
lutgen-fish-completion-0.11.2-r0.apk               25-Oct-2024 20:06                1769
lutgen-zsh-completion-0.11.2-r0.apk                25-Oct-2024 20:06                1688
lutris-0.5.19-r0.apk                               26-May-2025 11:11              838834
lutris-doc-0.5.19-r0.apk                           26-May-2025 11:11                2402
lutris-lang-0.5.19-r0.apk                          26-May-2025 11:11              829917
lutris-pyc-0.5.19-r0.apk                           26-May-2025 11:11             1187264
lxappearance-0.6.3-r3.apk                          25-Oct-2024 20:06               29359
lxappearance-dev-0.6.3-r3.apk                      25-Oct-2024 20:06                3318
lxappearance-doc-0.6.3-r3.apk                      25-Oct-2024 20:06                2677
lxappearance-lang-0.6.3-r3.apk                     25-Oct-2024 20:06               82431
lxd-5.0.3-r11.apk                                  14-May-2025 21:14            15318558
lxd-bash-completion-5.0.3-r11.apk                  14-May-2025 21:14                5182
lxd-client-5.0.3-r11.apk                           14-May-2025 21:14             6555946
lxd-feature-5.20-r11.apk                           14-May-2025 21:14            71711867
lxd-feature-bash-completion-5.20-r11.apk           14-May-2025 21:14                5250
lxd-feature-doc-5.20-r11.apk                       14-May-2025 21:14                1716
lxd-feature-openrc-5.20-r11.apk                    14-May-2025 21:14                2483
lxd-feature-scripts-5.20-r11.apk                   14-May-2025 21:14                2187
lxd-openrc-5.0.3-r11.apk                           14-May-2025 21:14                2609
lxd-scripts-5.0.3-r11.apk                          14-May-2025 21:14            26824838
lxd-vm-5.0.3-r11.apk                               14-May-2025 21:14                1363
lynis-3.1.1-r0.apk                                 25-Oct-2024 20:06              277759
lynis-bash-completion-3.1.1-r0.apk                 25-Oct-2024 20:06                3026
lynis-doc-3.1.1-r0.apk                             25-Oct-2024 20:06               50315
lyrics-in-terminal-1.7.0-r0.apk                    03-Jan-2025 10:09               38978
lzfse-1.0-r0.apk                                   25-Oct-2024 20:06               22081
lzfse-dev-1.0-r0.apk                               25-Oct-2024 20:06                3503
m2r2-0.3.3-r3.apk                                  25-Oct-2024 20:06               12802
m2r2-pyc-0.3.3-r3.apk                              25-Oct-2024 20:06               15977
ma1sd-2.5.0-r3.apk                                 25-Oct-2024 20:06            39970134
ma1sd-openrc-2.5.0-r3.apk                          25-Oct-2024 20:06                2004
maddy-0.8.1-r3.apk                                 14-May-2025 21:14            10297116
maddy-doc-0.8.1-r3.apk                             14-May-2025 21:14                2449
maddy-openrc-0.8.1-r3.apk                          14-May-2025 21:14                2022
maddy-vim-0.8.1-r3.apk                             14-May-2025 21:14                3667
mage-1.13.0-r23.apk                                14-May-2025 21:14             1611831
maildir2rss-0.0.7-r5.apk                           14-May-2025 21:14             3682754
mailsec-check-0_git20210729-r26.apk                14-May-2025 21:14             2698317
makeclapman-2.4.4-r5.apk                           14-May-2025 21:14             1321462
makeclapman-doc-2.4.4-r5.apk                       14-May-2025 21:14                4256
makedumpfile-1.7.7-r0.apk                          22-Apr-2025 21:28              175439
makedumpfile-doc-1.7.7-r0.apk                      22-Apr-2025 21:28               24234
makedumpfile-openrc-1.7.7-r0.apk                   22-Apr-2025 21:28                3001
makeself-2.5.0-r0.apk                              25-Oct-2024 20:06               13310
malcontent-0.12.0-r0.apk                           14-May-2025 21:14              149860
malcontent-dev-0.12.0-r0.apk                       14-May-2025 21:14               24494
malcontent-doc-0.12.0-r0.apk                       14-May-2025 21:14               45817
mame-0.251-r0.apk                                  25-Oct-2024 20:06            92407874
mame-arcade-0.251-r0.apk                           25-Oct-2024 20:06            63774985
mame-common-0.251-r0.apk                           25-Oct-2024 20:06                2718
mame-data-0.251-r0.apk                             25-Oct-2024 20:06            20049130
mame-doc-0.251-r0.apk                              25-Oct-2024 20:06               24642
mame-lang-0.251-r0.apk                             25-Oct-2024 20:06             1495445
mame-mess-0.251-r0.apk                             25-Oct-2024 20:06            49626398
mame-plugins-0.251-r0.apk                          25-Oct-2024 20:06              170317
mame-tools-0.251-r0.apk                            25-Oct-2024 20:06             2504948
mangal-4.0.6-r18.apk                               14-May-2025 21:14            10592332
mangal-bash-completion-4.0.6-r18.apk               14-May-2025 21:14                5110
mangal-fish-completion-4.0.6-r18.apk               14-May-2025 21:14                4017
mangal-zsh-completion-4.0.6-r18.apk                14-May-2025 21:14                4099
mangr0ve-0.1.2-r0.apk                              25-Oct-2024 20:06                2851
mangr0ve-doc-0.1.2-r0.apk                          25-Oct-2024 20:06               14747
manifest-tool-2.2.0-r1.apk                         14-May-2025 21:14             4025013
manuals-48.1-r0.apk                                19-Apr-2025 19:01              105119
mapnik-4.0.6-r0.apk                                28-Mar-2025 16:15            11712818
mapnik-dev-4.0.6-r0.apk                            28-Mar-2025 16:15              497129
mapnik-doc-4.0.6-r0.apk                            28-Mar-2025 16:15              144965
mapserver-8.4.0-r1.apk                             14-May-2025 21:14             1383950
mapserver-dev-8.4.0-r1.apk                         14-May-2025 21:14              552835
marxan-4.0.7-r1.apk                                25-Oct-2024 20:06              532435
masky-0.2.0-r2.apk                                 29-May-2025 11:57              283519
masky-pyc-0.2.0-r2.apk                             29-May-2025 11:57               65186
mat2-0.13.4-r3.apk                                 25-Oct-2024 20:06               36244
mat2-doc-0.13.4-r3.apk                             25-Oct-2024 20:06                7927
mat2-pyc-0.13.4-r3.apk                             25-Oct-2024 20:06               55161
materia-20210322-r1.apk                            25-Oct-2024 20:06                1739
materia-chromium-20210322-r1.apk                   25-Oct-2024 20:06                5844
materia-compact-20210322-r1.apk                    25-Oct-2024 20:06                1747
materia-compact-chromium-20210322-r1.apk           25-Oct-2024 20:06                5859
materia-compact-gnome-shell-20210322-r1.apk        25-Oct-2024 20:06               32387
materia-compact-gtk2-20210322-r1.apk               25-Oct-2024 20:06               38698
materia-compact-gtk3-20210322-r1.apk               25-Oct-2024 20:06               66099
materia-dark-20210322-r1.apk                       25-Oct-2024 20:06                1749
materia-dark-chromium-20210322-r1.apk              25-Oct-2024 20:06                5864
materia-dark-compact-20210322-r1.apk               25-Oct-2024 20:06                1763
materia-dark-compact-chromium-20210322-r1.apk      25-Oct-2024 20:06                5881
materia-dark-compact-gnome-shell-20210322-r1.apk   25-Oct-2024 20:06               32362
materia-dark-compact-gtk2-20210322-r1.apk          25-Oct-2024 20:06               38634
materia-dark-compact-gtk3-20210322-r1.apk          25-Oct-2024 20:06               42183
materia-dark-compact-kde-kvantum-20220823-r0.apk   25-Oct-2024 20:06                1507
materia-dark-gnome-shell-20210322-r1.apk           25-Oct-2024 20:06               32288
materia-dark-gtk2-20210322-r1.apk                  25-Oct-2024 20:06               38635
materia-dark-gtk3-20210322-r1.apk                  25-Oct-2024 20:06               42197
materia-dark-kde-konsole-20220823-r0.apk           25-Oct-2024 20:06                1891
materia-dark-kde-kvantum-20220823-r0.apk           25-Oct-2024 20:06               30717
materia-dark-kde-plasma-20220823-r0.apk            25-Oct-2024 20:06              515004
materia-dark-kde-yakuake-20220823-r0.apk           25-Oct-2024 20:06               22252
materia-gnome-shell-20210322-r1.apk                25-Oct-2024 20:06               32284
materia-gtk-theme-20210322-r1.apk                  25-Oct-2024 20:06              154724
materia-gtk2-20210322-r1.apk                       25-Oct-2024 20:06               38694
materia-gtk3-20210322-r1.apk                       25-Oct-2024 20:06               66214
materia-kde-20220823-r0.apk                        25-Oct-2024 20:06               19804
materia-kde-konsole-20220823-r0.apk                25-Oct-2024 20:06                1875
materia-kde-kvantum-20220823-r0.apk                25-Oct-2024 20:06               30675
materia-kde-plasma-20220823-r0.apk                 25-Oct-2024 20:06             1782945
materia-light-compact-kde-kvantum-20220823-r0.apk  25-Oct-2024 20:06                1508
materia-light-kde-kvantum-20220823-r0.apk          25-Oct-2024 20:06               30309
materia-light-kde-plasma-20220823-r0.apk           25-Oct-2024 20:06               20596
materia-light-kde-yakuake-20220823-r0.apk          25-Oct-2024 20:06               22013
mautrix-bluesky-0.1.1-r2.apk                       14-May-2025 21:14             8984166
mautrix-bluesky-doc-0.1.1-r2.apk                   14-May-2025 21:14               13527
mautrix-bluesky-openrc-0.1.1-r2.apk                14-May-2025 21:14                2009
mautrix-discord-0.7.3-r1.apk                       14-May-2025 21:14             6556958
mautrix-discord-doc-0.7.3-r1.apk                   14-May-2025 21:14               13519
mautrix-discord-openrc-0.7.3-r1.apk                14-May-2025 21:14                2008
mautrix-twitter-0.4.0-r1.apk                       14-May-2025 21:14             6565884
mautrix-twitter-doc-0.4.0-r1.apk                   14-May-2025 21:14               13529
mautrix-twitter-openrc-0.4.0-r1.apk                14-May-2025 21:14                2010
maxima-5.47.0-r8.apk                               25-Oct-2024 20:06            22724321
maxima-bash-completion-5.47.0-r8.apk               25-Oct-2024 20:06                2448
maxima-doc-5.47.0-r8.apk                           25-Oct-2024 20:06              779210
maxima-doc-extra-5.47.0-r8.apk                     25-Oct-2024 20:06            10517710
maxima-emacs-5.47.0-r8.apk                         25-Oct-2024 20:06              114069
mbrola-3.3-r0.apk                                  25-Oct-2024 20:06               20082
mcjoin-2.11-r0.apk                                 25-Oct-2024 20:06               22700
mcjoin-doc-2.11-r0.apk                             25-Oct-2024 20:06               55021
mcqd-1.0.0-r1.apk                                  25-Oct-2024 20:06               11791
mcqd-dev-1.0.0-r1.apk                              25-Oct-2024 20:06                4122
md5ha1-0_git20171202-r1.apk                        25-Oct-2024 20:06                9327
mdbook-admonish-1.19.0-r0.apk                      16-May-2025 17:30             1091186
mdbook-alerts-0.7.0-r0.apk                         11-Jan-2025 12:08              777696
mdbook-katex-0.9.4-r0.apk                          17-May-2025 10:45             1227286
mdbook-linkcheck-0.7.7-r0.apk                      16-May-2025 19:17             2829474
mdbook-mermaid-0.15.0-r0.apk                       17-May-2025 21:51             1743134
mdbook-plantuml-0.8.0-r0.apk                       25-Oct-2024 20:06              956516
mdcat-2.7.1-r0.apk                                 14-Dec-2024 18:04             3256418
mdcat-bash-completion-2.7.1-r0.apk                 14-Dec-2024 18:04                2255
mdcat-doc-2.7.1-r0.apk                             14-Dec-2024 18:04                6181
mdcat-fish-completion-2.7.1-r0.apk                 14-Dec-2024 18:04                2195
mdcat-zsh-completion-2.7.1-r0.apk                  14-Dec-2024 18:04                2508
mdnsd-0.12-r1.apk                                  25-Oct-2024 20:06               23067
mdnsd-doc-0.12-r1.apk                              25-Oct-2024 20:06               14705
mdnsd-libs-0.12-r1.apk                             25-Oct-2024 20:06               17305
mdnsd-openrc-0.12-r1.apk                           25-Oct-2024 20:06                2186
mdnsd-static-0.12-r1.apk                           25-Oct-2024 20:06               17330
mdp-1.0.15-r1.apk                                  25-Oct-2024 20:06               15954
mdp-doc-1.0.15-r1.apk                              25-Oct-2024 20:06                3796
mediascanner2-0.115-r3.apk                         13-Mar-2025 06:01              244813
mediastreamer2-5.3.100-r1.apk                      31-May-2025 21:03              346864
mediastreamer2-dev-5.3.100-r1.apk                  31-May-2025 21:03              112298
mediastreamer2-doc-5.3.100-r1.apk                  31-May-2025 21:03              110295
mediastreamer2-plugin-openh264-5.2.0_git2023102..> 06-Mar-2025 22:31               11222
mediastreamer2-plugin-x264-20200722-r6.apk         25-Oct-2024 20:06                7680
meep-1.30.0-r0.apk                                 14-May-2025 21:14              631145
meep-dev-1.30.0-r0.apk                             14-May-2025 21:14              522103
megatools-1.11.4.20250411-r0.apk                   03-May-2025 17:33               66263
megatools-bash-completion-1.11.4.20250411-r0.apk   03-May-2025 17:33                4198
megatools-doc-1.11.4.20250411-r0.apk               03-May-2025 17:33               53366
megazeux-2.93b-r0.apk                              25-Oct-2024 20:06             1125374
megazeux-doc-2.93b-r0.apk                          25-Oct-2024 20:06              464589
meli-0.8.11-r0.apk                                 05-May-2025 01:12             5208462
meli-doc-0.8.11-r0.apk                             05-May-2025 01:12               49600
memdump-1.01-r1.apk                                25-Oct-2024 20:06                5556
memdump-doc-1.01-r1.apk                            25-Oct-2024 20:06                3191
menumaker-0.99.14-r1.apk                           25-Oct-2024 20:06              113684
merge-usr-0_git20250530-r0.apk                     31-May-2025 16:23                9533
mergerfs-2.40.2-r1.apk                             25-Oct-2024 20:06              285081
mergerfs-doc-2.40.2-r1.apk                         25-Oct-2024 20:06               42826
merlin-4.14-r0.apk                                 25-Oct-2024 20:06            14980081
merlin-dev-4.14-r0.apk                             25-Oct-2024 20:06            23726677
merlin-emacs-4.14-r0.apk                           25-Oct-2024 20:06               29192
merlin-vim-4.14-r0.apk                             25-Oct-2024 20:06               28557
meson-tools-0.1-r2.apk                             09-Dec-2024 16:38                8364
meson-tools-doc-0.1-r2.apk                         09-Dec-2024 16:38                8494
mesonlsp-4.3.7-r3.apk                              14-May-2025 21:14             2251167
metadata-cleaner-2.5.6-r0.apk                      31-Jan-2025 14:31               50297
metadata-cleaner-doc-2.5.6-r0.apk                  31-Jan-2025 14:31             1994077
metadata-cleaner-lang-2.5.6-r0.apk                 31-Jan-2025 14:31               67489
metalang99-1.13.3-r0.apk                           25-Oct-2024 20:06               55602
milkytracker-1.04.00-r2.apk                        25-Oct-2024 20:06              995474
milkytracker-doc-1.04.00-r2.apk                    25-Oct-2024 20:06               51606
mimalloc1-1.9.3-r1.apk                             18-May-2025 16:00               67585
mimalloc1-debug-1.9.3-r1.apk                       18-May-2025 16:00              206930
mimalloc1-dev-1.9.3-r1.apk                         18-May-2025 16:00              471293
mimalloc1-insecure-1.9.3-r1.apk                    18-May-2025 16:00               63807
mimedefang-3.6-r0.apk                              02-Mar-2025 12:17              162484
mimedefang-doc-3.6-r0.apk                          02-Mar-2025 12:17               82328
mimeo-2023-r2.apk                                  25-Oct-2024 20:06               28826
mimeo-pyc-2023-r2.apk                              25-Oct-2024 20:06               42550
minidyndns-1.3.0-r3.apk                            25-Oct-2024 20:06               11923
minidyndns-doc-1.3.0-r3.apk                        25-Oct-2024 20:06                5229
minidyndns-openrc-1.3.0-r3.apk                     25-Oct-2024 20:06                1891
minigalaxy-1.3.2-r0.apk                            26-May-2025 11:11              172157
minigalaxy-pyc-1.3.2-r0.apk                        26-May-2025 11:11               96513
minimodem-0.24-r1.apk                              25-Oct-2024 20:06               20456
minimodem-doc-0.24-r1.apk                          25-Oct-2024 20:06                5321
minisatip-1.3.4-r0.apk                             25-Oct-2024 20:06              337076
minisatip-openrc-1.3.4-r0.apk                      25-Oct-2024 20:06                1926
mint-themes-2.1.1-r0.apk                           25-Oct-2024 20:06                2248
mint-themes-doc-2.1.1-r0.apk                       25-Oct-2024 20:06               13130
mint-x-icons-1.7.2-r0.apk                          24-Dec-2024 10:07            23566772
mint-x-icons-doc-1.7.2-r0.apk                      24-Dec-2024 10:07                7552
mint-x-theme-2.1.1-r0.apk                          25-Oct-2024 20:06                2385
mint-x-theme-gtk2-2.1.1-r0.apk                     25-Oct-2024 20:06              501637
mint-x-theme-gtk3-2.1.1-r0.apk                     25-Oct-2024 20:06              617965
mint-x-theme-gtk4-2.1.1-r0.apk                     25-Oct-2024 20:06              522736
mint-x-theme-metacity-2.1.1-r0.apk                 25-Oct-2024 20:06                6205
mint-x-theme-xfwm4-2.1.1-r0.apk                    25-Oct-2024 20:06               35642
mint-y-icons-1.8.3-r0.apk                          05-Feb-2025 22:11            75791380
mint-y-icons-doc-1.8.3-r0.apk                      05-Feb-2025 22:11               11528
mint-y-theme-2.1.1-r0.apk                          25-Oct-2024 20:06                4234
mint-y-theme-gtk2-2.1.1-r0.apk                     25-Oct-2024 20:06              671886
mint-y-theme-gtk3-2.1.1-r0.apk                     25-Oct-2024 20:06             1914031
mint-y-theme-gtk4-2.1.1-r0.apk                     25-Oct-2024 20:06             1633833
mint-y-theme-metacity-2.1.1-r0.apk                 25-Oct-2024 20:06               59116
mint-y-theme-xfwm4-2.1.1-r0.apk                    25-Oct-2024 20:06              211983
mir-2.20.2-r0.apk                                  17-Apr-2025 22:52             2087284
mir-demos-2.20.2-r0.apk                            17-Apr-2025 22:52              141650
mir-dev-2.20.2-r0.apk                              17-Apr-2025 22:52             7559563
mir-test-tools-2.20.2-r0.apk                       17-Apr-2025 22:52              270390
mirrorhall-0.1.1-r1.apk                            14-Jan-2025 08:03               26883
mjpg-streamer-0_git20210220-r2.apk                 14-May-2025 21:14              187481
mjpg-streamer-input-raspicam-0_git20210220-r2.apk  14-May-2025 21:14               15689
mkcert-1.4.4-r19.apk                               14-May-2025 21:14             1852039
mkdocs-bootstrap-1.1.1-r2.apk                      25-Oct-2024 20:06               29206
mkdocs-bootstrap-pyc-1.1.1-r2.apk                  25-Oct-2024 20:06                1853
mkdocs-bootstrap386-0.0.2-r5.apk                   25-Oct-2024 20:06              810241
mkdocs-bootstrap386-pyc-0.0.2-r5.apk               25-Oct-2024 20:06                1858
mkdocs-bootstrap4-0.1.5-r5.apk                     25-Oct-2024 20:06              266296
mkdocs-bootstrap4-pyc-0.1.5-r5.apk                 25-Oct-2024 20:06                1853
mkdocs-bootswatch-1.1-r5.apk                       25-Oct-2024 20:06              551322
mkdocs-bootswatch-pyc-1.1-r5.apk                   25-Oct-2024 20:06                4836
mkdocs-cinder-1.2.0-r5.apk                         25-Oct-2024 20:06              254598
mkdocs-cinder-pyc-1.2.0-r5.apk                     25-Oct-2024 20:06                1836
mkdocs-cluster-0.0.9-r5.apk                        25-Oct-2024 20:06              666764
mkdocs-cluster-pyc-0.0.9-r5.apk                    25-Oct-2024 20:06                1857
mkdocs-gitbook-0.0.1-r5.apk                        25-Oct-2024 20:06              659591
mkdocs-gitbook-pyc-0.0.1-r5.apk                    25-Oct-2024 20:06                1856
mkdocs-ivory-0.4.6-r5.apk                          25-Oct-2024 20:06               11193
mkdocs-ivory-pyc-0.4.6-r5.apk                      25-Oct-2024 20:06                1845
mkdocs-rtd-dropdown-1.0.2-r5.apk                   25-Oct-2024 20:06              254337
mkdocs-rtd-dropdown-pyc-1.0.2-r5.apk               25-Oct-2024 20:06                1854
mkdocs-windmill-1.0.5-r4.apk                       25-Oct-2024 20:06              966717
mkdocs-windmill-pyc-1.0.5-r4.apk                   25-Oct-2024 20:06                1853
mkg3a-0.5.0-r1.apk                                 25-Oct-2024 20:06               16392
mkg3a-doc-0.5.0-r1.apk                             25-Oct-2024 20:06                3130
mkosi-25.3-r0.apk                                  01-Feb-2025 22:34              256898
mkosi-pyc-25.3-r0.apk                              01-Feb-2025 22:34              402848
mktorrent-borg-0.9.9-r1.apk                        25-Oct-2024 20:06               10226
mktorrent-borg-doc-0.9.9-r1.apk                    25-Oct-2024 20:06                2550
mlxl-0.1-r0.apk                                    25-Oct-2024 20:06                5676
mm-1.4.2-r1.apk                                    25-Oct-2024 20:06                7269
mm-common-1.0.5-r0.apk                             25-Oct-2024 20:06              484389
mm-common-doc-1.0.5-r0.apk                         25-Oct-2024 20:06               32462
mm-dev-1.4.2-r1.apk                                25-Oct-2024 20:06               12118
mm-doc-1.4.2-r1.apk                                25-Oct-2024 20:06               14657
mmar-0.2.5-r1.apk                                  14-May-2025 21:14             2679168
mmix-0_git20221025-r0.apk                          25-Oct-2024 20:06              181676
mml-1.0.0-r0.apk                                   25-Oct-2024 20:06              881928
mml-bash-completion-1.0.0-r0.apk                   25-Oct-2024 20:06                2338
mml-doc-1.0.0-r0.apk                               25-Oct-2024 20:06                3987
mml-fish-completion-1.0.0-r0.apk                   25-Oct-2024 20:06                2310
mml-zsh-completion-1.0.0-r0.apk                    25-Oct-2024 20:06                2883
mnamer-2.5.5-r1.apk                                25-Oct-2024 20:06               32473
mnamer-pyc-2.5.5-r1.apk                            25-Oct-2024 20:06               61930
mobroute-0.9.0-r5.apk                              14-May-2025 21:14             4621953
mobroute-doc-0.9.0-r5.apk                          14-May-2025 21:14             1401483
mod_dnssd-0.6-r0.apk                               25-Oct-2024 20:06                8410
modem-manager-gui-0.0.20-r0.apk                    25-Oct-2024 20:06              330517
modem-manager-gui-doc-0.0.20-r0.apk                25-Oct-2024 20:06             4130236
modem-manager-gui-lang-0.0.20-r0.apk               25-Oct-2024 20:06              132370
moe-1.14-r0.apk                                    25-Oct-2024 20:06              104734
moe-doc-1.14-r0.apk                                25-Oct-2024 20:06               19437
moka-icon-theme-5.4.0-r2.apk                       25-Oct-2024 20:06           119267284
monetdb-11.33.11-r4.apk                            25-Oct-2024 20:06             2401661
monetdb-dev-11.33.11-r4.apk                        25-Oct-2024 20:06               78955
monetdb-doc-11.33.11-r4.apk                        25-Oct-2024 20:06              328635
mongo-cxx-driver-3.8.0-r0.apk                      25-Oct-2024 20:06              171034
mongo-cxx-driver-dev-3.8.0-r0.apk                  25-Oct-2024 20:06               90992
monopd-0.10.4-r0.apk                               11-Jan-2025 11:11               91683
monopd-openrc-0.10.4-r0.apk                        11-Jan-2025 11:11                1722
moon-buggy-1.0.51-r1.apk                           25-Oct-2024 20:06               34514
moon-buggy-doc-1.0.51-r1.apk                       25-Oct-2024 20:06                7306
moosefs-4.56.6-r1.apk                              04-May-2025 00:24              299008
moosefs-cgi-4.56.6-r1.apk                          04-May-2025 00:24              124097
moosefs-cgiserv-4.56.6-r1.apk                      04-May-2025 00:24                8015
moosefs-cgiserv-openrc-4.56.6-r1.apk               04-May-2025 00:24                2042
moosefs-chunkserver-4.56.6-r1.apk                  04-May-2025 00:24              234199
moosefs-chunkserver-openrc-4.56.6-r1.apk           04-May-2025 00:24                1715
moosefs-client-4.56.6-r1.apk                       04-May-2025 00:24              704375
moosefs-doc-4.56.6-r1.apk                          04-May-2025 00:24               97465
moosefs-master-4.56.6-r1.apk                       04-May-2025 00:24              386678
moosefs-master-openrc-4.56.6-r1.apk                04-May-2025 00:24                1706
moosefs-metalogger-4.56.6-r1.apk                   04-May-2025 00:24               41969
moosefs-metalogger-openrc-4.56.6-r1.apk            04-May-2025 00:24                1708
moosefs-static-4.56.6-r1.apk                       04-May-2025 00:24              734831
motion-4.7.0-r0.apk                                25-Oct-2024 20:06              148142
motion-doc-4.7.0-r0.apk                            25-Oct-2024 20:06              143249
motion-lang-4.7.0-r0.apk                           25-Oct-2024 20:06              482524
motion-openrc-4.7.0-r0.apk                         25-Oct-2024 20:06                2304
mp3val-0.1.8-r1.apk                                25-Oct-2024 20:06               13512
mpdcron-0.3-r1.apk                                 25-Oct-2024 20:06               98507
mpdcron-dev-0.3-r1.apk                             25-Oct-2024 20:06               57237
mpdcron-doc-0.3-r1.apk                             25-Oct-2024 20:06               13688
mpdcron-zsh-completion-0.3-r1.apk                  25-Oct-2024 20:06                2952
mpdris2-0.9.1-r3.apk                               25-Oct-2024 20:06               15551
mpdris2-doc-0.9.1-r3.apk                           25-Oct-2024 20:06               15224
mpdris2-lang-0.9.1-r3.apk                          25-Oct-2024 20:06                2387
mpv-sponsorblock-2.1.0-r0.apk                      25-Oct-2024 20:06             1452810
mqtt2prometheus-0.1.7-r16.apk                      14-May-2025 21:14             4561473
mrsh-0_git20210518-r1.apk                          25-Oct-2024 20:06                5315
mrsh-dbg-0_git20210518-r1.apk                      25-Oct-2024 20:06              207917
mrsh-dev-0_git20210518-r1.apk                      25-Oct-2024 20:06               10226
mrsh-libs-0_git20210518-r1.apk                     25-Oct-2024 20:06               55186
msgpuck-2.0-r1.apk                                 25-Oct-2024 20:06                1235
msgpuck-dev-2.0-r1.apk                             25-Oct-2024 20:06               22940
msgpuck-doc-2.0-r1.apk                             25-Oct-2024 20:06                7507
msh-2.5.0-r12.apk                                  14-May-2025 21:14             3016980
msh-openrc-2.5.0-r12.apk                           14-May-2025 21:14                2045
mspdebug-0.25-r1.apk                               25-Oct-2024 20:06              172304
mspdebug-doc-0.25-r1.apk                           25-Oct-2024 20:06               14555
muse-4.2.1-r2.apk                                  14-May-2025 21:14             6129288
muse-doc-4.2.1-r2.apk                              14-May-2025 21:14             4311004
musikcube-3.0.4-r1.apk                             26-Jan-2025 20:17             2185723
musikcube-dev-3.0.4-r1.apk                         26-Jan-2025 20:17               19496
musikcube-plugin-all-3.0.4-r1.apk                  26-Jan-2025 20:17                1314
musikcube-plugin-httpdatastream-3.0.4-r1.apk       26-Jan-2025 20:17               70713
musikcube-plugin-mpris-3.0.4-r1.apk                26-Jan-2025 20:17               19394
musikcube-plugin-openmpt-3.0.4-r1.apk              26-Jan-2025 20:17               26698
musikcube-plugin-server-3.0.4-r1.apk               26-Jan-2025 20:17              361177
musikcube-plugin-stockencoders-3.0.4-r1.apk        26-Jan-2025 20:17               18390
musikcube-plugin-supereqdsp-3.0.4-r1.apk           26-Jan-2025 20:17               23557
musikcube-plugin-taglibreader-3.0.4-r1.apk         26-Jan-2025 20:17               32091
mxclient-0_git20211002-r1.apk                      25-Oct-2024 20:06               67316
n30f-2.0-r3.apk                                    25-Oct-2024 20:06                6835
nano-hare-0_git20231021-r0.apk                     25-Oct-2024 20:06                2210
nauty-2.8.9-r0.apk                                 25-Oct-2024 20:06             5209195
nauty-dev-2.8.9-r0.apk                             25-Oct-2024 20:06             2778599
nauty-libs-2.8.9-r0.apk                            25-Oct-2024 20:06             2038876
nb-7.19.1-r0.apk                                   24-May-2025 22:10              155787
nb-bash-completion-7.19.1-r0.apk                   24-May-2025 22:10                3058
nb-doc-7.19.1-r0.apk                               24-May-2025 22:10               79331
nb-fish-completion-7.19.1-r0.apk                   24-May-2025 22:10                2865
nb-full-7.19.1-r0.apk                              24-May-2025 22:10                1332
nb-zsh-completion-7.19.1-r0.apk                    24-May-2025 22:10                3021
nbsdgames-5-r0.apk                                 25-Oct-2024 20:06              100894
nbsdgames-doc-5-r0.apk                             25-Oct-2024 20:06                9619
neard-0.19-r0.apk                                  25-Oct-2024 20:06              137905
neard-dev-0.19-r0.apk                              25-Oct-2024 20:06               11337
neard-doc-0.19-r0.apk                              25-Oct-2024 20:06                5718
neard-openrc-0.19-r0.apk                           25-Oct-2024 20:06                1730
neko-2.3.0-r0.apk                                  20-Nov-2024 22:26              442870
neko-dev-2.3.0-r0.apk                              20-Nov-2024 22:26               10632
neko-doc-2.3.0-r0.apk                              20-Nov-2024 22:26               20401
nemo-gtkhash-plugin-1.5-r0.apk                     25-Oct-2024 20:06               22521
neocmakelsp-0.8.22-r0.apk                          05-May-2025 08:15             1826801
neocmakelsp-bash-completion-0.8.22-r0.apk          05-May-2025 08:15                2003
neocmakelsp-doc-0.8.22-r0.apk                      05-May-2025 08:15                5770
neocmakelsp-fish-completion-0.8.22-r0.apk          05-May-2025 08:15                1663
neocmakelsp-zsh-completion-0.8.22-r0.apk           05-May-2025 08:15                1860
neofetch-7.1.0-r2.apk                              06-Nov-2024 21:46               87710
neofetch-doc-7.1.0-r2.apk                          06-Nov-2024 21:46                6329
nerdlog-1.9.0-r0.apk                               02-Jun-2025 18:16             2863702
nerdlog-doc-1.9.0-r0.apk                           02-Jun-2025 18:16               12596
net-predictable-1.5.1-r0.apk                       27-May-2025 21:40              924978
net-predictable-doc-1.5.1-r0.apk                   27-May-2025 21:40                2293
netdiscover-0.20-r0.apk                            17-May-2025 21:51              554483
netdiscover-doc-0.20-r0.apk                        17-May-2025 21:51                4309
netscanner-0.5.1-r1.apk                            25-Oct-2024 20:06             3767484
netscanner-doc-0.5.1-r1.apk                        25-Oct-2024 20:06                3411
netsed-1.3-r3.apk                                  25-Oct-2024 20:06               10120
networkmanager-openconnect-1.2.10-r1.apk           07-Apr-2025 22:00               57816
networkmanager-openconnect-lang-1.2.10-r1.apk      07-Apr-2025 22:00             1009918
newsyslog-1.2.0.91-r1.apk                          25-Oct-2024 20:06               19369
newsyslog-doc-1.2.0.91-r1.apk                      25-Oct-2024 20:06               24596
nextpnr-0.7-r0.apk                                 25-Oct-2024 20:06                1484
nextpnr-ecp5-0.7-r0.apk                            25-Oct-2024 20:06            26619773
nextpnr-generic-0.7-r0.apk                         25-Oct-2024 20:06              725612
nextpnr-gowin-0.7-r0.apk                           25-Oct-2024 20:06             1518215
nextpnr-ice40-0.7-r0.apk                           25-Oct-2024 20:06            71860457
nfcd-1.2.2-r0.apk                                  14-May-2025 21:14              257276
nfcd-dev-1.2.2-r0.apk                              14-May-2025 21:14               24684
nfoview-2.1-r0.apk                                 13-Apr-2025 10:30               39601
nfoview-doc-2.1-r0.apk                             13-Apr-2025 10:30                8194
nginx-ultimate-bad-bot-blocker-4.2023.10.4046-r..> 25-Oct-2024 20:06              729829
nginx-ultimate-bad-bot-blocker-doc-4.2023.10.40..> 25-Oct-2024 20:06               21775
nicotine-plus-3.3.10-r0.apk                        01-May-2025 18:06             1634615
nicotine-plus-doc-3.3.10-r0.apk                    01-May-2025 18:06                2642
nicotine-plus-lang-3.3.10-r0.apk                   01-May-2025 18:06              775215
nicotine-plus-pyc-3.3.10-r0.apk                    01-May-2025 18:06              807792
nitro-2.7_beta8-r2.apk                             25-Oct-2024 20:06              513376
nitro-dev-2.7_beta8-r2.apk                         25-Oct-2024 20:06              194514
nitrocli-0.4.1-r3.apk                              25-Oct-2024 20:06              442983
nitrocli-bash-completion-0.4.1-r3.apk              25-Oct-2024 20:06                3321
nitrocli-doc-0.4.1-r3.apk                          25-Oct-2024 20:06                9043
nixpacks-0.1.7-r1.apk                              25-Oct-2024 20:06              919874
nkk-0_git20221010-r0.apk                           25-Oct-2024 20:06               13239
nkk-dev-0_git20221010-r0.apk                       25-Oct-2024 20:06                2971
nkk-doc-0_git20221010-r0.apk                       25-Oct-2024 20:06                7139
nlopt-2.10.0-r0.apk                                06-Feb-2025 04:42              177299
nlopt-dev-2.10.0-r0.apk                            06-Feb-2025 04:42               12203
nlopt-doc-2.10.0-r0.apk                            06-Feb-2025 04:42               23322
nlopt-guile-2.10.0-r0.apk                          06-Feb-2025 04:42               42220
nlopt-octave-2.10.0-r0.apk                         06-Feb-2025 04:42               26731
nm-tray-0.5.0-r0.apk                               25-Oct-2024 20:06               97971
nm-tray-lang-0.5.0-r0.apk                          25-Oct-2024 20:06               27534
nmap-parse-output-1.5.1-r1.apk                     29-May-2025 11:57               20985
nmap-parse-output-bash-completion-1.5.1-r1.apk     29-May-2025 11:57                2031
nmap-parse-output-doc-1.5.1-r1.apk                 29-May-2025 11:57              827075
noblenote-1.2.1-r1.apk                             25-Oct-2024 20:06              392758
noggin-0.1-r17.apk                                 14-May-2025 21:14             1393603
noggin-doc-0.1-r17.apk                             14-May-2025 21:14                2993
noggin-model-0.1-r0.apk                            25-Oct-2024 20:06            12576152
noggin-model-lightweight-0.1-r0.apk                25-Oct-2024 20:06             1762336
noice-0.8-r1.apk                                   25-Oct-2024 20:06                8902
noice-doc-0.8-r1.apk                               25-Oct-2024 20:06                3441
nom-2.8.0-r3.apk                                   14-May-2025 21:14             7162427
nom-doc-2.8.0-r3.apk                               14-May-2025 21:14                4123
nomadnet-0.7.0-r0.apk                              27-May-2025 21:40              146207
nomadnet-pyc-0.7.0-r0.apk                          27-May-2025 21:40              290967
normaliz-3.10.4-r1.apk                             05-May-2025 01:12               40139
normaliz-dev-3.10.4-r1.apk                         05-May-2025 01:12               74306
normaliz-libs-3.10.4-r1.apk                        05-May-2025 01:12             2709397
notification-daemon-3.20.0-r0.apk                  25-Oct-2024 20:06               59645
nsh-0.4.2-r1.apk                                   25-Oct-2024 20:06              629731
nsh-dbg-0.4.2-r1.apk                               25-Oct-2024 20:06             3515911
nsnake-3.0.0-r0.apk                                25-Oct-2024 20:06                8908
nsnake-doc-3.0.0-r0.apk                            25-Oct-2024 20:06                2692
nsq-1.3.0-r10.apk                                  14-May-2025 21:14            26546483
ntpd-rs-1.4.0-r0.apk                               14-Dec-2024 19:00             2512743
ntpd-rs-doc-1.4.0-r0.apk                           14-Dec-2024 19:00               23259
ntpd-rs-openrc-1.4.0-r0.apk                        14-Dec-2024 19:00                1890
nuklear-4.12.0-r0.apk                              25-Oct-2024 20:06              225088
nuklear-doc-4.12.0-r0.apk                          25-Oct-2024 20:06               43430
nullmailer-2.2-r4.apk                              25-Oct-2024 20:06               94934
nullmailer-doc-2.2-r4.apk                          25-Oct-2024 20:06               10462
nullmailer-openrc-2.2-r4.apk                       25-Oct-2024 20:06                1634
numbat-1.9.0-r0.apk                                25-Oct-2024 20:06             1161056
numbat-doc-1.9.0-r0.apk                            25-Oct-2024 20:06               25326
nuzzle-1.6-r0.apk                                  25-Jan-2025 07:04               11985
nuzzle-doc-1.6-r0.apk                              25-Jan-2025 07:04                3281
nvim-cmp-0.0.0_git20221011-r1.apk                  25-Oct-2024 20:06               56163
nvim-cmp-buffer-0.0.0_git20220810-r1.apk           25-Oct-2024 20:06                7993
nvim-cmp-buffer-doc-0.0.0_git20220810-r1.apk       25-Oct-2024 20:06                4254
nvim-cmp-cmdline-0.0.0_git20220902-r1.apk          25-Oct-2024 20:06                3395
nvim-cmp-cmdline-doc-0.0.0_git20220902-r1.apk      25-Oct-2024 20:06                1832
nvim-cmp-doc-0.0.0_git20221011-r1.apk              25-Oct-2024 20:06               10710
nvim-cmp-lsp-0.0.0_git20220516-r1.apk              25-Oct-2024 20:06                3565
nvim-cmp-lsp-doc-0.0.0_git20220516-r1.apk          25-Oct-2024 20:06                2665
nvim-cmp-luasnip-0.0.0_git20220501-r1.apk          25-Oct-2024 20:06                3606
nvim-cmp-luasnip-doc-0.0.0_git20220501-r1.apk      25-Oct-2024 20:06                2089
nvim-cmp-path-0.0.0_git20221002-r1.apk             25-Oct-2024 20:06                3859
nvim-cmp-path-doc-0.0.0_git20221002-r1.apk         25-Oct-2024 20:06                2023
nvim-gruvbox-0.0.0_git20221212-r1.apk              25-Oct-2024 20:06               10416
nvim-gruvbox-doc-0.0.0_git20221212-r1.apk          25-Oct-2024 20:06                2863
nvim-lualine-0.0.0_git20241101-r0.apk              13-Nov-2024 23:16               60977
nvim-lualine-doc-0.0.0_git20241101-r0.apk          13-Nov-2024 23:16               31295
nvim-packer-0.0.0_git20220910-r1.apk               25-Oct-2024 20:06               46705
nvim-packer-doc-0.0.0_git20220910-r1.apk           25-Oct-2024 20:06               21694
nvim-plenary-0.1.4_git20240917-r0.apk              11-Nov-2024 04:07              104451
nvim-plenary-doc-0.1.4_git20240917-r0.apk          11-Nov-2024 04:07               10555
nvim-treesitter-0.10.0-r0.apk                      01-Jun-2025 08:06              421745
nvim-treesitter-doc-0.10.0-r0.apk                  01-Jun-2025 08:06               32079
nvim-web-devicons-0.100_git20241011-r0.apk         11-Nov-2024 03:57               27468
nvim-web-devicons-doc-0.100_git20241011-r0.apk     11-Nov-2024 03:57                7289
nvimpager-0.12.0-r0.apk                            25-Oct-2024 20:06               12864
nvimpager-doc-0.12.0-r0.apk                        25-Oct-2024 20:06                4506
nvimpager-zsh-completion-0.12.0-r0.apk             25-Oct-2024 20:06                1845
nvtop-3.2.0-r0.apk                                 29-Apr-2025 23:39               71381
nvtop-doc-3.2.0-r0.apk                             29-Apr-2025 23:39                3614
nwg-bar-0.1.6-r10.apk                              14-May-2025 21:14             1552925
nwg-displays-0.3.13-r1.apk                         25-Oct-2024 20:06               24173
nwg-displays-pyc-0.3.13-r1.apk                     25-Oct-2024 20:06               35597
nwg-dock-0.3.9-r11.apk                             14-May-2025 21:14             1712112
nwg-panel-0.10.4-r0.apk                            27-May-2025 08:44              288503
nwg-panel-doc-0.10.4-r0.apk                        27-May-2025 08:44                4550
nwg-panel-pyc-0.10.4-r0.apk                        27-May-2025 08:44              274507
nymphcast-mediaserver-0.1-r3.apk                   25-Oct-2024 20:06              110729
nymphcast-mediaserver-nftables-0.1-r3.apk          25-Oct-2024 20:06                1724
nzbget-25.0-r0.apk                                 14-May-2025 21:14             4965443
nzbget-openrc-25.0-r0.apk                          14-May-2025 21:14                2128
oauth2-proxy-7.8.1-r2.apk                          14-May-2025 21:14             8889001
oauth2-proxy-openrc-7.8.1-r2.apk                   14-May-2025 21:14                2161
objconv-2.52_git20210213-r2.apk                    25-Oct-2024 20:06              236209
oblibs-0.3.4.0-r0.apk                              01-Jun-2025 01:39               35175
oblibs-dbg-0.3.4.0-r0.apk                          01-Jun-2025 01:39               99534
oblibs-dev-0.3.4.0-r0.apk                          01-Jun-2025 01:39              242358
obnc-0.17.2-r0.apk                                 25-May-2025 23:37              146889
obnc-doc-0.17.2-r0.apk                             25-May-2025 23:37               34118
ocaml-alcotest-1.5.0-r4.apk                        25-Oct-2024 20:06              471592
ocaml-alcotest-dev-1.5.0-r4.apk                    25-Oct-2024 20:06              840717
ocaml-amqp-client-2.3.0-r0.apk                     25-Oct-2024 20:06              619975
ocaml-amqp-client-dev-2.3.0-r0.apk                 25-Oct-2024 20:06             1067221
ocaml-angstrom-0.16.0-r0.apk                       25-Oct-2024 20:06              180387
ocaml-angstrom-dev-0.16.0-r0.apk                   25-Oct-2024 20:06              349141
ocaml-arp-3.0.0-r3.apk                             25-Oct-2024 20:06               86124
ocaml-arp-dev-3.0.0-r3.apk                         25-Oct-2024 20:06              163212
ocaml-asn1-combinators-0.2.6-r2.apk                25-Oct-2024 20:06              323552
ocaml-asn1-combinators-dev-0.2.6-r2.apk            25-Oct-2024 20:06              587597
ocaml-astring-0.8.5-r2.apk                         25-Oct-2024 20:06              292634
ocaml-astring-dev-0.8.5-r2.apk                     25-Oct-2024 20:06              184139
ocaml-atd-2.15.0-r0.apk                            25-Oct-2024 20:06             7015230
ocaml-atd-dev-2.15.0-r0.apk                        25-Oct-2024 20:06             1991897
ocaml-base-0.16.3-r0.apk                           25-Oct-2024 20:06             4806007
ocaml-base-dev-0.16.3-r0.apk                       25-Oct-2024 20:06            10113761
ocaml-base64-3.5.0-r2.apk                          25-Oct-2024 20:06               91477
ocaml-base64-dev-3.5.0-r2.apk                      25-Oct-2024 20:06              179360
ocaml-bigarray-compat-1.1.0-r2.apk                 25-Oct-2024 20:06               12660
ocaml-bigarray-compat-dev-1.1.0-r2.apk             25-Oct-2024 20:06               12344
ocaml-bigstringaf-0.9.0-r2.apk                     25-Oct-2024 20:06               47418
ocaml-bigstringaf-dev-0.9.0-r2.apk                 25-Oct-2024 20:06               93235
ocaml-biniou-1.2.1-r5.apk                          25-Oct-2024 20:06              577156
ocaml-biniou-dev-1.2.1-r5.apk                      25-Oct-2024 20:06              374274
ocaml-bisect_ppx-2.8.3-r0.apk                      25-Oct-2024 20:06             4785856
ocaml-bisect_ppx-dev-2.8.3-r0.apk                  25-Oct-2024 20:06              564570
ocaml-bitstring-4.1.0-r3.apk                       25-Oct-2024 20:06             4190769
ocaml-bitstring-dev-4.1.0-r3.apk                   25-Oct-2024 20:06              593475
ocaml-bos-0.2.1-r2.apk                             25-Oct-2024 20:06              472463
ocaml-bos-dev-0.2.1-r2.apk                         25-Oct-2024 20:06              359288
ocaml-ca-certs-0.2.2-r2.apk                        25-Oct-2024 20:06               29087
ocaml-ca-certs-dev-0.2.2-r2.apk                    25-Oct-2024 20:06               40933
ocaml-ca-certs-nss-3.89.1-r1.apk                   25-Oct-2024 20:06              404111
ocaml-ca-certs-nss-dev-3.89.1-r1.apk               25-Oct-2024 20:06              457562
ocaml-ca-certs-nss-tools-3.89.1-r1.apk             25-Oct-2024 20:06             1576228
ocaml-cairo2-0.6.2-r2.apk                          25-Oct-2024 20:06              169159
ocaml-cairo2-dev-0.6.2-r2.apk                      25-Oct-2024 20:06              459384
ocaml-calendar-2.04-r4.apk                         25-Oct-2024 20:06              224295
ocaml-calendar-dev-2.04-r4.apk                     25-Oct-2024 20:06              166309
ocaml-calendar-doc-2.04-r4.apk                     25-Oct-2024 20:06               12131
ocaml-camlpdf-2.8.1-r0.apk                         14-May-2025 21:14             6327290
ocaml-camlzip-1.11-r2.apk                          25-Oct-2024 20:06              116463
ocaml-camlzip-dev-1.11-r2.apk                      25-Oct-2024 20:06              224912
ocaml-camomile-1.0.2-r3.apk                        25-Oct-2024 20:06             1380182
ocaml-camomile-data-1.0.2-r3.apk                   25-Oct-2024 20:06             5307935
ocaml-camomile-dev-1.0.2-r3.apk                    25-Oct-2024 20:06             2604385
ocaml-charinfo_width-1.1.0-r3.apk                  25-Oct-2024 20:06              106482
ocaml-charinfo_width-dev-1.1.0-r3.apk              25-Oct-2024 20:06              193894
ocaml-cmdliner-1.1.1-r3.apk                        25-Oct-2024 20:06              479799
ocaml-cmdliner-dev-1.1.1-r3.apk                    25-Oct-2024 20:06              247041
ocaml-cmdliner-doc-1.1.1-r3.apk                    25-Oct-2024 20:06               20215
ocaml-cohttp-5.3.1-r0.apk                          25-Oct-2024 20:06              693732
ocaml-cohttp-dev-5.3.1-r0.apk                      25-Oct-2024 20:06             1348644
ocaml-cohttp-tools-5.3.1-r0.apk                    25-Oct-2024 20:06             8040783
ocaml-compiler-libs-repackaged-0.12.4-r3.apk       25-Oct-2024 20:06               78679
ocaml-compiler-libs-repackaged-dev-0.12.4-r3.apk   25-Oct-2024 20:06              106007
ocaml-conduit-6.1.0-r0.apk                         25-Oct-2024 20:06              297732
ocaml-conduit-dev-6.1.0-r0.apk                     25-Oct-2024 20:06              562063
ocaml-containers-3.7-r2.apk                        25-Oct-2024 20:06             3708724
ocaml-containers-dev-3.7-r2.apk                    25-Oct-2024 20:06             7064465
ocaml-containers-top-3.7-r2.apk                    25-Oct-2024 20:06               23077
ocaml-cpdf-2.8.1-r0.apk                            14-May-2025 21:14             5105612
ocaml-cstruct-6.1.0-r3.apk                         25-Oct-2024 20:06             4306860
ocaml-cstruct-dev-6.1.0-r3.apk                     25-Oct-2024 20:06              726316
ocaml-ctypes-0.20.1-r2.apk                         25-Oct-2024 20:06              899524
ocaml-ctypes-dev-0.20.1-r2.apk                     25-Oct-2024 20:06              872544
ocaml-curses-1.0.10-r2.apk                         25-Oct-2024 20:06              139091
ocaml-curses-dev-1.0.10-r2.apk                     25-Oct-2024 20:06              320660
ocaml-dns-6.2.2-r3.apk                             25-Oct-2024 20:06             2358750
ocaml-dns-dev-6.2.2-r3.apk                         25-Oct-2024 20:06             4358285
ocaml-dns-tools-6.2.2-r3.apk                       25-Oct-2024 20:06            11075776
ocaml-domain-name-0.4.0-r2.apk                     25-Oct-2024 20:06               75989
ocaml-domain-name-dev-0.4.0-r2.apk                 25-Oct-2024 20:06              144836
ocaml-down-0.1.0-r3.apk                            25-Oct-2024 20:06              642015
ocaml-down-dev-0.1.0-r3.apk                        25-Oct-2024 20:06              341445
ocaml-duration-0.2.0-r2.apk                        25-Oct-2024 20:06               27544
ocaml-duration-dev-0.2.0-r2.apk                    25-Oct-2024 20:06               45659
ocaml-easy-format-1.3.4-r1.apk                     25-Oct-2024 20:06               60792
ocaml-easy-format-dev-1.3.4-r1.apk                 25-Oct-2024 20:06              114154
ocaml-eqaf-0.8-r2.apk                              25-Oct-2024 20:06               70451
ocaml-eqaf-dev-0.8-r2.apk                          25-Oct-2024 20:06              122823
ocaml-erm_xml-0_git20211229-r2.apk                 25-Oct-2024 20:06              595519
ocaml-erm_xml-dev-0_git20211229-r2.apk             25-Oct-2024 20:06              796278
ocaml-erm_xmpp-0_git20220404-r2.apk                25-Oct-2024 20:06             1378481
ocaml-erm_xmpp-dev-0_git20220404-r2.apk            25-Oct-2024 20:06             1737838
ocaml-ethernet-3.0.0-r3.apk                        25-Oct-2024 20:06               43596
ocaml-ethernet-dev-3.0.0-r3.apk                    25-Oct-2024 20:06               84719
ocaml-extlib-1.7.9-r2.apk                          25-Oct-2024 20:06              652257
ocaml-extlib-dev-1.7.9-r2.apk                      25-Oct-2024 20:06             1347610
ocaml-extlib-doc-1.7.9-r2.apk                      25-Oct-2024 20:06               10850
ocaml-ezxmlm-1.1.0-r0.apk                          25-Oct-2024 20:06               32645
ocaml-ezxmlm-dev-1.1.0-r0.apk                      25-Oct-2024 20:06               53337
ocaml-fileutils-0.6.4-r2.apk                       25-Oct-2024 20:06              321271
ocaml-fileutils-dev-0.6.4-r2.apk                   25-Oct-2024 20:06              612371
ocaml-fileutils-doc-0.6.4-r2.apk                   25-Oct-2024 20:06               16158
ocaml-fix-20220121-r2.apk                          25-Oct-2024 20:06              171473
ocaml-fix-dev-20220121-r2.apk                      25-Oct-2024 20:06              439328
ocaml-fmt-0.9.0-r2.apk                             25-Oct-2024 20:06              195574
ocaml-fmt-dev-0.9.0-r2.apk                         25-Oct-2024 20:06              129413
ocaml-fpath-0.7.3-r2.apk                           25-Oct-2024 20:06              141966
ocaml-fpath-dev-0.7.3-r2.apk                       25-Oct-2024 20:06              105690
ocaml-gen-1.1-r1.apk                               25-Oct-2024 20:06              331062
ocaml-gen-dev-1.1-r1.apk                           25-Oct-2024 20:06              627437
ocaml-gettext-0.4.2-r3.apk                         25-Oct-2024 20:06             3579620
ocaml-gettext-dev-0.4.2-r3.apk                     25-Oct-2024 20:06              742142
ocaml-gettext-doc-0.4.2-r3.apk                     25-Oct-2024 20:06               19619
ocaml-gitlab-0.1.8-r0.apk                          25-Oct-2024 20:06             3151801
ocaml-gitlab-dev-0.1.8-r0.apk                      25-Oct-2024 20:06            12133888
ocaml-gmap-0.3.0-r2.apk                            25-Oct-2024 20:06               37345
ocaml-gmap-dev-0.3.0-r2.apk                        25-Oct-2024 20:06               76498
ocaml-happy-eyeballs-0.3.0-r2.apk                  25-Oct-2024 20:06               86428
ocaml-happy-eyeballs-dev-0.3.0-r2.apk              25-Oct-2024 20:06              152491
ocaml-happy-eyeballs-lwt-0.3.0-r3.apk              25-Oct-2024 20:06               39929
ocaml-happy-eyeballs-lwt-dev-0.3.0-r3.apk          25-Oct-2024 20:06               60535
ocaml-happy-eyeballs-lwt-tools-0.3.0-r3.apk        25-Oct-2024 20:06             2555309
ocaml-hex-1.5.0-r2.apk                             25-Oct-2024 20:06               30083
ocaml-hex-dev-1.5.0-r2.apk                         25-Oct-2024 20:06               54770
ocaml-higlo-0.9-r0.apk                             25-Oct-2024 20:06             5154360
ocaml-higlo-dev-0.9-r0.apk                         25-Oct-2024 20:06              693346
ocaml-hkdf-1.0.4-r2.apk                            25-Oct-2024 20:06               14291
ocaml-hkdf-dev-1.0.4-r2.apk                        25-Oct-2024 20:06               18706
ocaml-integers-0.7.0-r2.apk                        25-Oct-2024 20:06              131492
ocaml-integers-dev-0.7.0-r2.apk                    25-Oct-2024 20:06              280068
ocaml-ipaddr-5.3.1-r2.apk                          25-Oct-2024 20:06              321928
ocaml-ipaddr-dev-5.3.1-r2.apk                      25-Oct-2024 20:06              575638
ocaml-iri-1.0.0-r0.apk                             25-Oct-2024 20:06             1929018
ocaml-iri-dev-1.0.0-r0.apk                         25-Oct-2024 20:06              788898
ocaml-iso8601-0.2.6-r0.apk                         25-Oct-2024 20:06               53333
ocaml-iso8601-dev-0.2.6-r0.apk                     25-Oct-2024 20:06               89548
ocaml-jsonm-1.0.2-r0.apk                           25-Oct-2024 20:06              121604
ocaml-jsonm-dev-1.0.2-r0.apk                       25-Oct-2024 20:06               68823
ocaml-jsonm-tools-1.0.2-r0.apk                     25-Oct-2024 20:06              455805
ocaml-lablgtk3-3.1.2-r3.apk                        25-Oct-2024 20:06             8254787
ocaml-lablgtk3-dev-3.1.2-r3.apk                    25-Oct-2024 20:06            14474389
ocaml-lablgtk3-extras-3.0.1-r2.apk                 25-Oct-2024 20:06              902543
ocaml-lablgtk3-extras-dev-3.0.1-r2.apk             25-Oct-2024 20:06             1607206
ocaml-labltk-8.06.12-r2.apk                        25-Oct-2024 20:06             3012518
ocaml-labltk-dev-8.06.12-r2.apk                    25-Oct-2024 20:06             1548647
ocaml-lambda-term-3.2.0-r4.apk                     25-Oct-2024 20:06             3608855
ocaml-lambda-term-dev-3.2.0-r4.apk                 25-Oct-2024 20:06             4022178
ocaml-lambda-term-doc-3.2.0-r4.apk                 25-Oct-2024 20:06                8991
ocaml-lambdasoup-0.7.3-r2.apk                      25-Oct-2024 20:06              194460
ocaml-lambdasoup-dev-0.7.3-r2.apk                  25-Oct-2024 20:06              356850
ocaml-libvirt-0.6.1.7-r0.apk                       25-Oct-2024 20:06              179199
ocaml-libvirt-dev-0.6.1.7-r0.apk                   25-Oct-2024 20:06              100974
ocaml-libvirt-doc-0.6.1.7-r0.apk                   25-Oct-2024 20:06               14035
ocaml-logs-0.7.0-r3.apk                            25-Oct-2024 20:06              116909
ocaml-logs-dev-0.7.0-r3.apk                        25-Oct-2024 20:06               87135
ocaml-lru-0.3.0-r2.apk                             25-Oct-2024 20:06               77591
ocaml-lru-dev-0.3.0-r2.apk                         25-Oct-2024 20:06              166333
ocaml-lwd-0.3-r0.apk                               25-Oct-2024 20:06              502091
ocaml-lwd-dev-0.3-r0.apk                           25-Oct-2024 20:06             1043468
ocaml-lwt-5.7.0-r0.apk                             25-Oct-2024 20:06             1264900
ocaml-lwt-dev-5.7.0-r0.apk                         25-Oct-2024 20:06             3275378
ocaml-lwt-dllist-1.0.1-r3.apk                      25-Oct-2024 20:06               23105
ocaml-lwt-dllist-dev-1.0.1-r3.apk                  25-Oct-2024 20:06               40625
ocaml-lwt_log-1.1.1-r5.apk                         25-Oct-2024 20:06              135559
ocaml-lwt_log-dev-1.1.1-r5.apk                     25-Oct-2024 20:06              261840
ocaml-lwt_ppx-5.7.0-r0.apk                         25-Oct-2024 20:06             3960945
ocaml-lwt_react-5.7.0-r0.apk                       25-Oct-2024 20:06              122564
ocaml-lwt_ssl-1.2.0-r0.apk                         25-Oct-2024 20:06               29978
ocaml-lwt_ssl-dev-1.2.0-r0.apk                     25-Oct-2024 20:06               47943
ocaml-magic-mime-1.3.1-r0.apk                      25-Oct-2024 20:06              228192
ocaml-magic-mime-dev-1.3.1-r0.apk                  25-Oct-2024 20:06              328351
ocaml-markup-1.0.3-r3.apk                          25-Oct-2024 20:06             1048983
ocaml-markup-dev-1.0.3-r3.apk                      25-Oct-2024 20:06             2193464
ocaml-menhir-20220210-r3.apk                       08-Dec-2024 16:19             1342093
ocaml-menhir-dev-20220210-r3.apk                   08-Dec-2024 16:19              919736
ocaml-menhir-doc-20220210-r3.apk                   08-Dec-2024 16:19              624485
ocaml-merlin-extend-0.6.1-r2.apk                   25-Oct-2024 20:06               50151
ocaml-merlin-extend-dev-0.6.1-r2.apk               25-Oct-2024 20:06               93183
ocaml-metrics-0.4.0-r3.apk                         25-Oct-2024 20:06              250899
ocaml-metrics-dev-0.4.0-r3.apk                     25-Oct-2024 20:06              460744
ocaml-mew-0.1.0-r3.apk                             25-Oct-2024 20:06               70400
ocaml-mew-dev-0.1.0-r3.apk                         25-Oct-2024 20:06               98153
ocaml-mew_vi-0.5.0-r3.apk                          25-Oct-2024 20:06              189690
ocaml-mew_vi-dev-0.5.0-r3.apk                      25-Oct-2024 20:06              303543
ocaml-mikmatch-1.0.9-r2.apk                        25-Oct-2024 20:06              148935
ocaml-mirage-clock-4.2.0-r2.apk                    25-Oct-2024 20:06               28482
ocaml-mirage-clock-dev-4.2.0-r2.apk                25-Oct-2024 20:06               34820
ocaml-mirage-crypto-0.10.6-r3.apk                  25-Oct-2024 20:06             1141102
ocaml-mirage-crypto-dev-0.10.6-r3.apk              25-Oct-2024 20:06             5736135
ocaml-mirage-flow-3.0.0-r3.apk                     25-Oct-2024 20:06              112819
ocaml-mirage-flow-dev-3.0.0-r3.apk                 25-Oct-2024 20:06              197930
ocaml-mirage-kv-4.0.1-r3.apk                       25-Oct-2024 20:06               20613
ocaml-mirage-kv-dev-4.0.1-r3.apk                   25-Oct-2024 20:06               43965
ocaml-mirage-net-4.0.0-r3.apk                      25-Oct-2024 20:06               12595
ocaml-mirage-net-dev-4.0.0-r3.apk                  25-Oct-2024 20:06               20013
ocaml-mirage-profile-0.9.1-r3.apk                  25-Oct-2024 20:06               24651
ocaml-mirage-profile-dev-0.9.1-r3.apk              25-Oct-2024 20:06               31629
ocaml-mirage-random-3.0.0-r3.apk                   25-Oct-2024 20:06                7184
ocaml-mirage-random-dev-3.0.0-r3.apk               25-Oct-2024 20:06                5515
ocaml-mirage-time-3.0.0-r4.apk                     25-Oct-2024 20:06               10207
ocaml-mirage-time-dev-3.0.0-r4.apk                 25-Oct-2024 20:06                8377
ocaml-mmap-1.2.0-r3.apk                            25-Oct-2024 20:06                7357
ocaml-mmap-dev-1.2.0-r3.apk                        25-Oct-2024 20:06                6659
ocaml-mqtt-0.2.2-r0.apk                            25-Oct-2024 20:06              159935
ocaml-mqtt-dev-0.2.2-r0.apk                        25-Oct-2024 20:06              264706
ocaml-mtime-1.4.0-r2.apk                           25-Oct-2024 20:06               50053
ocaml-mtime-dev-1.4.0-r2.apk                       25-Oct-2024 20:06               49510
ocaml-notty-0.2.3-r0.apk                           25-Oct-2024 20:06              315547
ocaml-notty-dev-0.2.3-r0.apk                       25-Oct-2024 20:06              622523
ocaml-num-1.4-r3.apk                               25-Oct-2024 20:06              262619
ocaml-num-dev-1.4-r3.apk                           25-Oct-2024 20:06               97154
ocaml-obuild-0.1.11-r0.apk                         25-Oct-2024 20:06             1123014
ocaml-ocf-0.8.0-r3.apk                             25-Oct-2024 20:06             8206745
ocaml-ocf-dev-0.8.0-r3.apk                         25-Oct-2024 20:06              331630
ocaml-ocp-indent-1.8.2-r2.apk                      25-Oct-2024 20:06              550707
ocaml-ocp-indent-dev-1.8.2-r2.apk                  25-Oct-2024 20:06              653667
ocaml-ocp-index-1.3.6-r0.apk                       25-Oct-2024 20:06              472047
ocaml-ocp-index-dev-1.3.6-r0.apk                   25-Oct-2024 20:06              541978
ocaml-ocplib-endian-1.2-r3.apk                     25-Oct-2024 20:06              161196
ocaml-ocplib-endian-dev-1.2-r3.apk                 25-Oct-2024 20:06              266988
ocaml-omake-0.10.6-r0.apk                          25-Oct-2024 20:06             1694556
ocaml-omake-doc-0.10.6-r0.apk                      25-Oct-2024 20:06                8214
ocaml-omod-0.0.3-r3.apk                            25-Oct-2024 20:06              338044
ocaml-omod-bin-0.0.3-r3.apk                        25-Oct-2024 20:06             2816083
ocaml-omod-dev-0.0.3-r3.apk                        25-Oct-2024 20:06              219281
ocaml-otoml-1.0.5-r0.apk                           25-Oct-2024 20:06              454384
ocaml-otoml-dev-1.0.5-r0.apk                       25-Oct-2024 20:06              799182
ocaml-otr-0.3.10-r2.apk                            25-Oct-2024 20:06              290397
ocaml-otr-dev-0.3.10-r2.apk                        25-Oct-2024 20:06              539610
ocaml-ounit-2.2.7-r3.apk                           25-Oct-2024 20:06              549460
ocaml-ounit-dev-2.2.7-r3.apk                       25-Oct-2024 20:06             1094048
ocaml-parsexp-0.16.0-r0.apk                        25-Oct-2024 20:06              407851
ocaml-parsexp-dev-0.16.0-r0.apk                    25-Oct-2024 20:06              960408
ocaml-pbkdf-1.2.0-r2.apk                           25-Oct-2024 20:06               17858
ocaml-pbkdf-dev-1.2.0-r2.apk                       25-Oct-2024 20:06               25840
ocaml-pcre-7.5.0-r4.apk                            25-Oct-2024 20:06              151431
ocaml-pcre-dev-7.5.0-r4.apk                        25-Oct-2024 20:06              309809
ocaml-ppx_blob-0.8.0-r0.apk                        25-Oct-2024 20:06             3864437
ocaml-ppx_blob-dev-0.8.0-r0.apk                    25-Oct-2024 20:06               19201
ocaml-ppx_derivers-1.2.1-r2.apk                    25-Oct-2024 20:06                9741
ocaml-ppx_derivers-dev-1.2.1-r2.apk                25-Oct-2024 20:06               11429
ocaml-ppx_deriving-5.3.0-r0.apk                    25-Oct-2024 20:06             5192682
ocaml-ppx_deriving-dev-5.3.0-r0.apk                25-Oct-2024 20:06             1384772
ocaml-ppx_sexp_conv-0.16.0-r0.apk                  25-Oct-2024 20:06              593609
ocaml-ppx_sexp_conv-dev-0.16.0-r0.apk              25-Oct-2024 20:06             1201441
ocaml-ppxlib-0.32.0-r0.apk                         25-Oct-2024 20:06            13375176
ocaml-ppxlib-dev-0.32.0-r0.apk                     25-Oct-2024 20:06            16905077
ocaml-psq-0.2.0-r2.apk                             25-Oct-2024 20:06               99291
ocaml-psq-dev-0.2.0-r2.apk                         25-Oct-2024 20:06              177838
ocaml-ptime-1.0.0-r2.apk                           25-Oct-2024 20:06              115616
ocaml-ptime-dev-1.0.0-r2.apk                       25-Oct-2024 20:06               77761
ocaml-ptmap-2.0.5-r3.apk                           25-Oct-2024 20:06               55005
ocaml-ptmap-dev-2.0.5-r3.apk                       25-Oct-2024 20:06               96286
ocaml-qcheck-0.18.1-r3.apk                         25-Oct-2024 20:06              684177
ocaml-qcheck-dev-0.18.1-r3.apk                     25-Oct-2024 20:06             1411014
ocaml-qtest-2.11.2-r3.apk                          25-Oct-2024 20:06              333548
ocaml-qtest-dev-2.11.2-r3.apk                      25-Oct-2024 20:06                3701
ocaml-randomconv-0.1.3-r2.apk                      25-Oct-2024 20:06               13912
ocaml-randomconv-dev-0.1.3-r2.apk                  25-Oct-2024 20:06               18146
ocaml-re-1.11.0-r1.apk                             25-Oct-2024 20:06              536275
ocaml-re-dev-1.11.0-r1.apk                         25-Oct-2024 20:06              998058
ocaml-react-1.2.2-r2.apk                           25-Oct-2024 20:06              289813
ocaml-react-dev-1.2.2-r2.apk                       25-Oct-2024 20:06              202799
ocaml-reason-3.8.2-r1.apk                          25-Oct-2024 20:06            16052157
ocaml-reason-dev-3.8.2-r1.apk                      25-Oct-2024 20:06            30167943
ocaml-result-1.5-r2.apk                            25-Oct-2024 20:06                9269
ocaml-result-dev-1.5-r2.apk                        25-Oct-2024 20:06                8127
ocaml-rresult-0.7.0-r2.apk                         25-Oct-2024 20:06               39017
ocaml-rresult-dev-0.7.0-r2.apk                     25-Oct-2024 20:06               35547
ocaml-sedlex-3.2-r0.apk                            25-Oct-2024 20:06             4324688
ocaml-sedlex-dev-3.2-r0.apk                        25-Oct-2024 20:06             1571716
ocaml-seq-0.3.1-r2.apk                             25-Oct-2024 20:06               14254
ocaml-seq-dev-0.3.1-r2.apk                         25-Oct-2024 20:06               20357
ocaml-sexplib-0.16.0-r0.apk                        25-Oct-2024 20:06              498122
ocaml-sexplib-dev-0.16.0-r0.apk                    25-Oct-2024 20:06              841426
ocaml-sexplib0-0.16.0-r0.apk                       25-Oct-2024 20:06              174795
ocaml-sexplib0-dev-0.16.0-r0.apk                   25-Oct-2024 20:06              342685
ocaml-sha-1.15.4-r0.apk                            25-Oct-2024 20:06               83777
ocaml-sha-dev-1.15.4-r0.apk                        25-Oct-2024 20:06              245697
ocaml-ssl-0.7.0-r0.apk                             25-Oct-2024 20:06               96515
ocaml-ssl-dev-0.7.0-r0.apk                         25-Oct-2024 20:06              213705
ocaml-stdlib-shims-0.3.0-r2.apk                    25-Oct-2024 20:06                4318
ocaml-stk-0.1.0-r0.apk                             25-Oct-2024 20:06             6386434
ocaml-stk-dev-0.1.0-r0.apk                         25-Oct-2024 20:06            10371798
ocaml-stringext-1.6.0-r2.apk                       25-Oct-2024 20:06               43852
ocaml-stringext-dev-1.6.0-r2.apk                   25-Oct-2024 20:06               79628
ocaml-tcpip-7.1.2-r3.apk                           25-Oct-2024 20:06             1265661
ocaml-tcpip-dev-7.1.2-r3.apk                       25-Oct-2024 20:06             2529144
ocaml-tls-0.15.3-r4.apk                            25-Oct-2024 20:06             1303944
ocaml-tls-dev-0.15.3-r4.apk                        25-Oct-2024 20:06             2476080
ocaml-tophide-1.0.4-r2.apk                         25-Oct-2024 20:06                5763
ocaml-topkg-1.0.5-r2.apk                           25-Oct-2024 20:06              627967
ocaml-topkg-dev-1.0.5-r2.apk                       25-Oct-2024 20:06              416420
ocaml-trie-1.0.0-r2.apk                            25-Oct-2024 20:06               16136
ocaml-trie-dev-1.0.0-r2.apk                        25-Oct-2024 20:06               25884
ocaml-tsdl-1.0.0-r0.apk                            25-Oct-2024 20:06              941499
ocaml-tsdl-dev-1.0.0-r0.apk                        25-Oct-2024 20:06              589603
ocaml-tsdl-image-0.6-r0.apk                        25-Oct-2024 20:06               48604
ocaml-tsdl-image-dev-0.6-r0.apk                    25-Oct-2024 20:06               79498
ocaml-tsdl-ttf-0.6-r0.apk                          25-Oct-2024 20:06               63742
ocaml-tsdl-ttf-dev-0.6-r0.apk                      25-Oct-2024 20:06              111706
ocaml-uri-4.2.0-r2.apk                             25-Oct-2024 20:06             1463270
ocaml-uri-dev-4.2.0-r2.apk                         25-Oct-2024 20:06             4475410
ocaml-utop-2.9.1-r4.apk                            25-Oct-2024 20:06              357582
ocaml-utop-dev-2.9.1-r4.apk                        25-Oct-2024 20:06              781064
ocaml-uucd-14.0.0-r2.apk                           25-Oct-2024 20:06              285479
ocaml-uucd-dev-14.0.0-r2.apk                       25-Oct-2024 20:06              176552
ocaml-uucp-14.0.0-r2.apk                           25-Oct-2024 20:06             5347710
ocaml-uucp-dev-14.0.0-r2.apk                       25-Oct-2024 20:06             1148433
ocaml-uuidm-0.9.8-r2.apk                           25-Oct-2024 20:06               46422
ocaml-uuidm-dev-0.9.8-r2.apk                       25-Oct-2024 20:06               25407
ocaml-uuidm-tools-0.9.8-r2.apk                     25-Oct-2024 20:06              451404
ocaml-uunf-14.0.0-r2.apk                           25-Oct-2024 20:06             1202173
ocaml-uunf-dev-14.0.0-r2.apk                       25-Oct-2024 20:06              227799
ocaml-uuseg-14.0.0-r2.apk                          25-Oct-2024 20:06               94371
ocaml-uuseg-dev-14.0.0-r2.apk                      25-Oct-2024 20:06               47110
ocaml-uuseg-tools-14.0.0-r2.apk                    25-Oct-2024 20:06             1353669
ocaml-uutf-1.0.3-r2.apk                            25-Oct-2024 20:06              634777
ocaml-uutf-dev-1.0.3-r2.apk                        25-Oct-2024 20:06               56564
ocaml-x509-0.16.0-r2.apk                           25-Oct-2024 20:06              855712
ocaml-x509-dev-0.16.0-r2.apk                       25-Oct-2024 20:06             1608494
ocaml-xml-light-2.5-r0.apk                         25-Oct-2024 20:06              221897
ocaml-xml-light-dev-2.5-r0.apk                     25-Oct-2024 20:06              394807
ocaml-xmlm-1.4.0-r2.apk                            25-Oct-2024 20:06              569063
ocaml-xmlm-dev-1.4.0-r2.apk                        25-Oct-2024 20:06              102080
ocaml-xtmpl-0.19.0-r0.apk                          25-Oct-2024 20:06             9292648
ocaml-xtmpl-dev-0.19.0-r0.apk                      25-Oct-2024 20:06              795411
ocaml-yojson-2.1.2-r0.apk                          25-Oct-2024 20:06             1075174
ocaml-yojson-dev-2.1.2-r0.apk                      25-Oct-2024 20:06             1748533
ocaml-zed-3.1.0-r3.apk                             25-Oct-2024 20:06              530894
ocaml-zed-dev-3.1.0-r3.apk                         25-Oct-2024 20:06             1182158
ocamlnet-4.1.9-r2.apk                              25-Oct-2024 20:06            17327827
ocamlnet-dev-4.1.9-r2.apk                          25-Oct-2024 20:06             6171829
ocamlnet-tcl-4.1.9-r2.apk                          25-Oct-2024 20:06               50755
ocfs2-tools-1.8.7-r4.apk                           25-Oct-2024 20:06             1197935
ocfs2-tools-dev-1.8.7-r4.apk                       25-Oct-2024 20:06               46026
ocfs2-tools-doc-1.8.7-r4.apk                       25-Oct-2024 20:06               71017
ocp-indent-1.8.2-r2.apk                            25-Oct-2024 20:06             1150664
ocp-indent-doc-1.8.2-r2.apk                        25-Oct-2024 20:06               17364
ocp-indent-emacs-1.8.2-r2.apk                      25-Oct-2024 20:06                3800
ocp-indent-vim-1.8.2-r2.apk                        25-Oct-2024 20:06                2451
ocp-index-1.3.6-r0.apk                             25-Oct-2024 20:06             5731966
ocp-index-doc-1.3.6-r0.apk                         25-Oct-2024 20:06               31375
ocp-index-emacs-1.3.6-r0.apk                       25-Oct-2024 20:06                6420
ocp-index-vim-1.3.6-r0.apk                         25-Oct-2024 20:06                3106
octoprint-1.10.3-r0.apk                            25-Dec-2024 22:04             3179038
octoprint-creality2xfix-0.0.4-r2.apk               25-Oct-2024 20:06                4825
octoprint-creality2xfix-pyc-0.0.4-r2.apk           25-Oct-2024 20:06                3417
octoprint-filecheck-2024.3.27-r1.apk               25-Oct-2024 20:06               28587
octoprint-filecheck-pyc-2024.3.27-r1.apk           25-Oct-2024 20:06               11568
octoprint-firmwarecheck-2021.10.11-r2.apk          25-Oct-2024 20:06               30009
octoprint-firmwarecheck-pyc-2021.10.11-r2.apk      25-Oct-2024 20:06               18018
octoprint-openrc-1.10.3-r0.apk                     25-Dec-2024 22:04                1750
octoprint-pisupport-2023.10.10-r1.apk              25-Oct-2024 20:06               31576
octoprint-pisupport-pyc-2023.10.10-r1.apk          25-Oct-2024 20:06               13120
octoprint-pyc-1.10.3-r0.apk                        25-Dec-2024 22:04             1297614
ode-0.16.6-r0.apk                                  25-Jan-2025 07:04              572453
oil-0.21.0-r0.apk                                  25-Oct-2024 20:06             1512434
oil-doc-0.21.0-r0.apk                              25-Oct-2024 20:06                7144
oils-for-unix-0.29.0-r1.apk                        18-May-2025 11:49              703661
oils-for-unix-bash-0.29.0-r1.apk                   18-May-2025 11:49                1558
oils-for-unix-binsh-0.29.0-r1.apk                  18-May-2025 11:49                1561
oils-for-unix-doc-0.29.0-r1.apk                    18-May-2025 11:49                7189
ol-2.6-r0.apk                                      01-May-2025 06:25             1063790
ol-dev-2.6-r0.apk                                  01-May-2025 06:25               16214
ol-doc-2.6-r0.apk                                  01-May-2025 06:25                2648
olab-0.1.8-r0.apk                                  25-Oct-2024 20:06             3591586
ollama-0.9.0-r0.apk                                01-Jun-2025 22:18            10859831
ollama-doc-0.9.0-r0.apk                            01-Jun-2025 22:18              253576
olsrd-0.9.8-r3.apk                                 25-Oct-2024 20:06              171985
olsrd-doc-0.9.8-r3.apk                             25-Oct-2024 20:06               25674
olsrd-openrc-0.9.8-r3.apk                          25-Oct-2024 20:06                1919
olsrd-plugins-0.9.8-r3.apk                         25-Oct-2024 20:06              187310
oniux-0.5.0-r0.apk                                 25-May-2025 15:28             5978963
oniux-doc-0.5.0-r0.apk                             25-May-2025 15:28                3188
onnxruntime-1.22.0-r0.apk                          14-May-2025 21:14             5612115
onnxruntime-dev-1.22.0-r0.apk                      14-May-2025 21:14              117493
opcr-policy-0.3.0-r4.apk                           14-May-2025 21:14             8986033
openapi-validator-1.19.2-r0.apk                    25-Oct-2024 20:06            10418371
opendht-3.1.11-r0.apk                              27-Jan-2025 20:56              180847
opendht-dev-3.1.11-r0.apk                          27-Jan-2025 20:56               72589
opendht-doc-3.1.11-r0.apk                          27-Jan-2025 20:56                3099
opendht-libs-3.1.11-r0.apk                         27-Jan-2025 20:56              569398
openfortivpn-1.22.1-r0.apk                         11-Dec-2024 21:31               41751
openfortivpn-doc-1.22.1-r0.apk                     11-Dec-2024 21:31                6449
openfpgaloader-0.11.0-r0.apk                       25-Oct-2024 20:06             2080880
openocd-esp32-0_git20250422-r0.apk                 29-Apr-2025 19:46             2102449
openocd-esp32-dev-0_git20250422-r0.apk             29-Apr-2025 19:46                3746
openocd-esp32-doc-0_git20250422-r0.apk             29-Apr-2025 19:46                3284
openocd-esp32-udev-rules-0_git20250422-r0.apk      29-Apr-2025 19:46                3567
openocd-git-0_git20240113-r1.apk                   25-Oct-2024 20:06             1788127
openocd-git-cmd-openocd-0_git20240113-r1.apk       25-Oct-2024 20:06                1541
openocd-git-dbg-0_git20240113-r1.apk               25-Oct-2024 20:06             4234326
openocd-git-dev-0_git20240113-r1.apk               25-Oct-2024 20:06                3497
openocd-git-doc-0_git20240113-r1.apk               25-Oct-2024 20:06                3373
openocd-git-udev-rules-0_git20240113-r1.apk        25-Oct-2024 20:06                3498
openocd-riscv-0_git20230104-r2.apk                 25-Oct-2024 20:06             1654919
openocd-riscv-dev-0_git20230104-r2.apk             25-Oct-2024 20:06                3814
openocd-riscv-doc-0_git20230104-r2.apk             25-Oct-2024 20:06                3367
openocd-riscv-udev-rules-0_git20230104-r2.apk      25-Oct-2024 20:06                3349
openscap-daemon-0.1.10-r9.apk                      25-Oct-2024 20:06               61606
openscap-daemon-doc-0.1.10-r9.apk                  25-Oct-2024 20:06               17964
openscap-daemon-pyc-0.1.10-r9.apk                  25-Oct-2024 20:06              104322
openslide-3.4.1-r3.apk                             25-Oct-2024 20:06               86368
openslide-dev-3.4.1-r3.apk                         25-Oct-2024 20:06                7019
openslide-doc-3.4.1-r3.apk                         25-Oct-2024 20:06                5040
openslide-tools-3.4.1-r3.apk                       25-Oct-2024 20:06               10394
openswitcher-0.5.0-r4.apk                          25-Oct-2024 20:06              151965
openswitcher-proxy-0.5.0-r4.apk                    25-Oct-2024 20:06                9606
openswitcher-proxy-openrc-0.5.0-r4.apk             25-Oct-2024 20:06                2087
opentelemetry-cpp-1.19.0-r4.apk                    27-May-2025 08:43              554583
opentelemetry-cpp-dev-1.19.0-r4.apk                27-May-2025 08:43              507778
opentelemetry-cpp-exporter-otlp-common-1.19.0-r..> 27-May-2025 08:43               42240
opentelemetry-cpp-exporter-otlp-grpc-1.19.0-r4.apk 27-May-2025 08:43               52434
opentelemetry-cpp-exporter-otlp-http-1.19.0-r4.apk 27-May-2025 08:43               80205
opentelemetry-cpp-exporter-zipkin-1.19.0-r4.apk    27-May-2025 08:43               46780
openvpn3-3.8.5-r1.apk                              17-Feb-2025 12:07              386414
openvpn3-dev-3.8.5-r1.apk                          17-Feb-2025 12:07              683024
openwsman-2.8.1-r0.apk                             13-Feb-2025 07:44               46668
openwsman-dev-2.8.1-r0.apk                         13-Feb-2025 07:44               57000
openwsman-doc-2.8.1-r0.apk                         13-Feb-2025 07:44                2395
openwsman-libs-2.8.1-r0.apk                        13-Feb-2025 07:44              312531
opkg-0.7.0-r0.apk                                  25-Oct-2024 20:06               10208
opkg-dev-0.7.0-r0.apk                              25-Oct-2024 20:06              108301
opkg-doc-0.7.0-r0.apk                              25-Oct-2024 20:06                7835
opkg-libs-0.7.0-r0.apk                             25-Oct-2024 20:06               77535
opkg-utils-0.7.0-r0.apk                            25-Oct-2024 20:06               25804
opkg-utils-doc-0.7.0-r0.apk                        25-Oct-2024 20:06                3706
opmsg-1.84-r1.apk                                  25-Oct-2024 20:06              262511
orage-4.20.1-r0.apk                                08-Apr-2025 11:49              590730
orage-lang-4.20.1-r0.apk                           08-Apr-2025 11:49             1265933
os-installer-0.4.3-r0.apk                          19-Mar-2025 11:44               84615
osmctools-0.9-r0.apk                               25-Oct-2024 20:06              137493
ostui-1.0.3-r2.apk                                 14-May-2025 21:14             4972271
ostui-doc-1.0.3-r2.apk                             14-May-2025 21:14               28586
otf-0.3.23-r0.apk                                  28-May-2025 22:38                1299
otf-agent-0.3.23-r0.apk                            28-May-2025 22:38             8434924
otf-agent-openrc-0.3.23-r0.apk                     28-May-2025 22:38                2040
otf-atkinson-hyperlegible-2020.0514-r1.apk         25-Oct-2024 20:06              104063
otf-atkinson-hyperlegible-doc-2020.0514-r1.apk     25-Oct-2024 20:06               48831
otf-cli-0.3.23-r0.apk                              28-May-2025 22:38             8317475
otf-server-0.3.23-r0.apk                           28-May-2025 22:38            13008736
otf-server-openrc-0.3.23-r0.apk                    28-May-2025 22:38                2047
otpclient-4.0.2-r0.apk                             25-Oct-2024 20:06              114255
otpclient-doc-4.0.2-r0.apk                         25-Oct-2024 20:06                3730
otrs-6.0.48-r2.apk                                 25-Oct-2024 20:06            30073387
otrs-apache2-6.0.48-r2.apk                         25-Oct-2024 20:06                4125
otrs-bash-completion-6.0.48-r2.apk                 25-Oct-2024 20:06                2462
otrs-dev-6.0.48-r2.apk                             25-Oct-2024 20:06             4058538
otrs-doc-6.0.48-r2.apk                             25-Oct-2024 20:06              814227
otrs-fastcgi-6.0.48-r2.apk                         25-Oct-2024 20:06                1808
otrs-nginx-6.0.48-r2.apk                           25-Oct-2024 20:06                1834
otrs-openrc-6.0.48-r2.apk                          25-Oct-2024 20:06                1938
otrs-setup-6.0.48-r2.apk                           25-Oct-2024 20:06              109916
ouch-0.6.1-r0.apk                                  28-May-2025 07:38             1793611
ouch-bash-completion-0.6.1-r0.apk                  28-May-2025 07:38                2563
ouch-doc-0.6.1-r0.apk                              28-May-2025 07:38                3910
ouch-fish-completion-0.6.1-r0.apk                  28-May-2025 07:38                3108
ouch-zsh-completion-0.6.1-r0.apk                   28-May-2025 07:38                3204
ovn-24.03.1-r0.apk                                 25-Oct-2024 20:06             6799304
ovn-dbg-24.03.1-r0.apk                             25-Oct-2024 20:07            27142982
ovn-dev-24.03.1-r0.apk                             25-Oct-2024 20:07            10332161
ovn-doc-24.03.1-r0.apk                             25-Oct-2024 20:07              524214
ovn-openrc-24.03.1-r0.apk                          25-Oct-2024 20:07                4251
ovos-audio-0.3.1-r0.apk                            21-Nov-2024 13:31              138813
ovos-audio-pyc-0.3.1-r0.apk                        21-Nov-2024 13:31               36914
ovos-core-1.3.1-r0.apk                             26-May-2025 12:11               52372
ovos-core-pyc-1.3.1-r0.apk                         26-May-2025 12:11               65176
ovos-gui-1.1.0-r0.apk                              25-Nov-2024 12:41               31433
ovos-gui-pyc-1.1.0-r0.apk                          25-Nov-2024 12:41               39305
ovos-messagebus-0.0.10-r0.apk                      08-Apr-2025 07:43               10192
ovos-messagebus-pyc-0.0.10-r0.apk                  08-Apr-2025 07:43                6808
ovos-phal-0.2.7-r0.apk                             21-Nov-2024 13:31               10552
ovos-phal-pyc-0.2.7-r0.apk                         21-Nov-2024 13:31                7349
ovos-skill-hello-world-0.0.4_alpha3-r1.apk         25-Oct-2024 20:07               46733
ovos-skill-hello-world-pyc-0.0.4_alpha3-r1.apk     25-Oct-2024 20:07                4064
ovpncc-0.1_rc1-r0.apk                              25-Oct-2024 20:07               12231
ovpncc-doc-0.1_rc1-r0.apk                          25-Oct-2024 20:07                6672
oxygen-icons-6.1.0-r0.apk                          25-Oct-2024 20:07            33134394
p0f-3.09b-r3.apk                                   25-Oct-2024 20:07               90171
p0f-doc-3.09b-r3.apk                               25-Oct-2024 20:07               25868
p910nd-0.97-r2.apk                                 25-Oct-2024 20:07                7334
p910nd-doc-0.97-r2.apk                             25-Oct-2024 20:07                3055
p910nd-openrc-0.97-r2.apk                          25-Oct-2024 20:07                1881
pacoloco-1.7-r1.apk                                14-May-2025 21:14             4912216
pacoloco-doc-1.7-r1.apk                            14-May-2025 21:14                2303
pacoloco-openrc-1.7-r1.apk                         14-May-2025 21:14                1922
pacparser-1.4.5-r1.apk                             25-Oct-2024 20:07              745947
pacparser-dev-1.4.5-r1.apk                         25-Oct-2024 20:07                3687
pacparser-doc-1.4.5-r1.apk                         25-Oct-2024 20:07               18179
pam-krb5-4.11-r1.apk                               25-Oct-2024 20:07               21847
pam-krb5-doc-4.11-r1.apk                           25-Oct-2024 20:07               24191
pam_sqlite3-1.0.2-r2.apk                           25-Oct-2024 20:07                8504
pamtester-0.1.2-r4.apk                             25-Oct-2024 20:07                8571
pamtester-doc-0.1.2-r4.apk                         25-Oct-2024 20:07                2959
pantalaimon-0.10.5-r4.apk                          25-Oct-2024 20:07               45839
pantalaimon-doc-0.10.5-r4.apk                      25-Oct-2024 20:07                6536
pantalaimon-pyc-0.10.5-r4.apk                      25-Oct-2024 20:07               84907
pantalaimon-ui-0.10.5-r4.apk                       25-Oct-2024 20:07                1760
paperkey-1.6-r2.apk                                25-Oct-2024 20:07               16771
paperkey-doc-1.6-r2.apk                            25-Oct-2024 20:07                4598
paprefs-1.2-r2.apk                                 22-Nov-2024 22:07               29383
paprefs-lang-1.2-r2.apk                            22-Nov-2024 22:07               38796
par-1.53.0-r1.apk                                  25-Oct-2024 20:07               14268
par-doc-1.53.0-r1.apk                              25-Oct-2024 20:07               31059
par2cmdline-turbo-1.3.0-r0.apk                     14-May-2025 21:14              187036
par2cmdline-turbo-doc-1.3.0-r0.apk                 14-May-2025 21:14                6048
parcellite-1.2.5-r0.apk                            25-Oct-2024 20:07              229728
parcellite-doc-1.2.5-r0.apk                        25-Oct-2024 20:07               25833
parcellite-lang-1.2.5-r0.apk                       25-Oct-2024 20:07               49945
parse-changelog-0.6.12-r0.apk                      18-May-2025 22:18              582249
pash-2.3.0-r2.apk                                  25-Oct-2024 20:07                4388
pass2csv-1.1.1-r1.apk                              25-Oct-2024 20:07                8569
pass2csv-pyc-1.1.1-r1.apk                          25-Oct-2024 20:07                7649
pastel-0.10.0-r1.apk                               25-May-2025 07:49              449292
pastel-bash-completion-0.10.0-r1.apk               25-May-2025 07:49                3123
pastel-fish-completion-0.10.0-r1.apk               25-May-2025 07:49                3327
pastel-zsh-completion-0.10.0-r1.apk                25-May-2025 07:49                5016
pasystray-0.8.2-r0.apk                             25-Oct-2024 20:07               45303
pasystray-doc-0.8.2-r0.apk                         25-Oct-2024 20:07                3412
pcl-1.14.1-r0.apk                                  17-Feb-2025 12:07              443931
pcl-dev-1.14.1-r0.apk                              17-Feb-2025 12:07              396176
pcl-libs-1.14.1-r0.apk                             17-Feb-2025 12:07             1255615
pdal-python-plugins-1.6.2-r0.apk                   13-Jan-2025 06:32              230715
pdf2svg-0.2.3-r1.apk                               25-Oct-2024 20:07                4637
pdfcrack-0.20-r0.apk                               25-Oct-2024 20:07               24928
pebble-le-0.3.0-r2.apk                             14-Dec-2024 18:56               65476
pebble-le-dev-0.3.0-r2.apk                         14-Dec-2024 18:56               44497
pebble-le-doc-0.3.0-r2.apk                         14-Dec-2024 18:56                3772
peervpn-0.044-r5.apk                               25-Oct-2024 20:07               40498
peervpn-openrc-0.044-r5.apk                        25-Oct-2024 20:07                1829
peg-0.1.18-r1.apk                                  25-Oct-2024 20:07               34722
peg-doc-0.1.18-r1.apk                              25-Oct-2024 20:07               13994
percona-toolkit-3.5.4-r1.apk                       25-Oct-2024 20:07             1862147
percona-toolkit-doc-3.5.4-r1.apk                   25-Oct-2024 20:07              304661
perl-adapter-async-0.019-r0.apk                    25-Oct-2024 20:07                8306
perl-adapter-async-doc-0.019-r0.apk                25-Oct-2024 20:07               17149
perl-algorithm-backoff-0.010-r0.apk                25-Oct-2024 20:07                9816
perl-algorithm-backoff-doc-0.010-r0.apk            25-Oct-2024 20:07               30180
perl-algorithm-c3-0.11-r1.apk                      25-Oct-2024 20:07                5768
perl-algorithm-c3-doc-0.11-r1.apk                  25-Oct-2024 20:07                5198
perl-algorithm-cron-0.10-r4.apk                    25-Oct-2024 20:07                6229
perl-algorithm-cron-doc-0.10-r4.apk                25-Oct-2024 20:07                4686
perl-algorithm-evolutionary-0.82.1-r0.apk          25-Oct-2024 20:07               80625
perl-algorithm-evolutionary-doc-0.82.1-r0.apk      25-Oct-2024 20:07              151273
perl-algorithm-permute-0.17-r0.apk                 25-Oct-2024 20:07               11634
perl-algorithm-permute-doc-0.17-r0.apk             25-Oct-2024 20:07                5153
perl-aliased-0.34-r4.apk                           25-Oct-2024 20:07                5772
perl-aliased-doc-0.34-r4.apk                       25-Oct-2024 20:07                5783
perl-anyevent-dns-etchosts-0.0105-r0.apk           25-Oct-2024 20:07                5210
perl-anyevent-dns-etchosts-doc-0.0105-r0.apk       25-Oct-2024 20:07                4060
perl-anyevent-future-0.05-r0.apk                   25-Oct-2024 20:07                5264
perl-anyevent-future-doc-0.05-r0.apk               25-Oct-2024 20:07                5533
perl-anyevent-riperedis-0.48-r0.apk                25-Oct-2024 20:07               12664
perl-anyevent-riperedis-doc-0.48-r0.apk            25-Oct-2024 20:07               10528
perl-archive-extract-0.88-r1.apk                   25-Oct-2024 20:07               16105
perl-archive-extract-doc-0.88-r1.apk               25-Oct-2024 20:07                6971
perl-autobox-3.0.2-r0.apk                          25-Oct-2024 20:07               18689
perl-autobox-doc-3.0.2-r0.apk                      25-Oct-2024 20:07                9061
perl-b-hooks-op-check-0.22-r0.apk                  25-Oct-2024 20:07                6502
perl-b-hooks-op-check-doc-0.22-r0.apk              25-Oct-2024 20:07                3794
perl-b-utils-0.27-r0.apk                           29-Apr-2025 19:46               19157
perl-b-utils-doc-0.27-r0.apk                       29-Apr-2025 19:46                9661
perl-barcode-zbar-0.10-r3.apk                      25-Oct-2024 20:07               29657
perl-barcode-zbar-doc-0.10-r3.apk                  25-Oct-2024 20:07               12861
perl-bareword-filehandles-0.007-r0.apk             25-Oct-2024 20:07                5774
perl-bareword-filehandles-doc-0.007-r0.apk         25-Oct-2024 20:07                3252
perl-bind-config-parser-0.01-r5.apk                25-Oct-2024 20:07                3956
perl-bind-config-parser-doc-0.01-r5.apk            25-Oct-2024 20:07                3693
perl-bsd-resource-1.2911-r10.apk                   25-Oct-2024 20:07               19908
perl-bsd-resource-doc-1.2911-r10.apk               25-Oct-2024 20:07                8046
perl-bytes-random-secure-0.29-r0.apk               25-Oct-2024 20:07               14642
perl-bytes-random-secure-doc-0.29-r0.apk           25-Oct-2024 20:07               12426
perl-cache-lru-0.04-r0.apk                         25-Oct-2024 20:07                3014
perl-cache-lru-doc-0.04-r0.apk                     25-Oct-2024 20:07                3278
perl-cairo-1.109-r4.apk                            25-Oct-2024 20:07               70952
perl-cairo-doc-1.109-r4.apk                        25-Oct-2024 20:07               14246
perl-cairo-gobject-1.005-r4.apk                    25-Oct-2024 20:07                6220
perl-cairo-gobject-doc-1.005-r4.apk                25-Oct-2024 20:07                3019
perl-carp-assert-more-2.9.0-r0.apk                 13-Apr-2025 14:57                9183
perl-carp-assert-more-doc-2.9.0-r0.apk             13-Apr-2025 14:57                7976
perl-carp-repl-0.18-r0.apk                         29-Apr-2025 19:46                6098
perl-carp-repl-doc-0.18-r0.apk                     29-Apr-2025 19:46                6011
perl-catalyst-action-renderview-0.17-r0.apk        19-Mar-2025 14:53                3894
perl-catalyst-action-renderview-doc-0.17-r0.apk    19-Mar-2025 14:53                4139
perl-catalyst-action-rest-1.21-r0.apk              01-Apr-2025 07:19               26007
perl-catalyst-action-rest-doc-1.21-r0.apk          01-Apr-2025 07:19               27716
perl-catalyst-actionrole-acl-0.07-r0.apk           14-May-2025 21:14                4442
perl-catalyst-actionrole-acl-doc-0.07-r0.apk       14-May-2025 21:14                5880
perl-catalyst-authentication-credential-http-1...> 04-Apr-2025 05:40                8815
perl-catalyst-authentication-credential-http-do..> 04-Apr-2025 05:40                6920
perl-catalyst-component-instancepercontext-0.00..> 01-Jun-2025 08:04                2787
perl-catalyst-component-instancepercontext-doc-..> 01-Jun-2025 08:04                3369
perl-catalyst-controller-actionrole-0.17-r0.apk    04-Apr-2025 05:41                5105
perl-catalyst-controller-actionrole-doc-0.17-r0..> 04-Apr-2025 05:41                4553
perl-catalyst-devel-1.42-r0.apk                    20-Mar-2025 16:04               55364
perl-catalyst-devel-doc-1.42-r0.apk                20-Mar-2025 16:04               11657
perl-catalyst-manual-5.9013-r0.apk                 04-Apr-2025 05:22                2750
perl-catalyst-manual-doc-5.9013-r0.apk             04-Apr-2025 05:22              384132
perl-catalyst-model-adaptor-0.10-r0.apk            13-Apr-2025 14:57                6639
perl-catalyst-model-adaptor-doc-0.10-r0.apk        13-Apr-2025 14:57               12402
perl-catalyst-plugin-authentication-0.10024-r0.apk 29-Mar-2025 08:34               32995
perl-catalyst-plugin-authentication-doc-0.10024..> 29-Mar-2025 08:34               57243
perl-catalyst-plugin-configloader-0.35-r0.apk      20-Mar-2025 16:04                5515
perl-catalyst-plugin-configloader-doc-0.35-r0.apk  20-Mar-2025 16:04               10566
perl-catalyst-plugin-i18n-0.10-r0.apk              04-Apr-2025 08:55                4226
perl-catalyst-plugin-i18n-doc-0.10-r0.apk          04-Apr-2025 08:55               13597
perl-catalyst-plugin-session-0.43-r0.apk           04-Apr-2025 11:56               14777
perl-catalyst-plugin-session-doc-0.43-r0.apk       04-Apr-2025 11:56               25738
perl-catalyst-plugin-session-state-cookie-0.18-..> 20-Apr-2025 04:32                4971
perl-catalyst-plugin-session-state-cookie-doc-0..> 20-Apr-2025 04:32                4965
perl-catalyst-plugin-static-simple-0.37-r0.apk     20-Mar-2025 16:04                8905
perl-catalyst-plugin-static-simple-doc-0.37-r0.apk 20-Mar-2025 16:04                7589
perl-catalyst-runtime-5.90132-r0.apk               19-Mar-2025 11:44              153282
perl-catalyst-runtime-doc-5.90132-r0.apk           19-Mar-2025 11:44              221533
perl-catalyst-view-email-0.36-r0.apk               04-Apr-2025 08:55                9300
perl-catalyst-view-email-doc-0.36-r0.apk           04-Apr-2025 08:55               11017
perl-catalyst-view-tt-0.46-r0.apk                  12-Apr-2025 15:51               13942
perl-catalyst-view-tt-doc-0.46-r0.apk              12-Apr-2025 15:51               12998
perl-catalystx-component-traits-0.19-r0.apk        14-May-2025 21:14                4423
perl-catalystx-component-traits-doc-0.19-r0.apk    14-May-2025 21:14                4091
perl-catalystx-injectcomponent-0.025-r0.apk        01-May-2025 06:34                3498
perl-catalystx-injectcomponent-doc-0.025-r0.apk    01-May-2025 06:34                3671
perl-catalystx-repl-0.04-r0.apk                    29-Apr-2025 19:46                3382
perl-catalystx-repl-doc-0.04-r0.apk                29-Apr-2025 19:46                3708
perl-catalystx-simplelogin-0.21-r0.apk             14-May-2025 21:14               10939
perl-catalystx-simplelogin-doc-0.21-r0.apk         14-May-2025 21:14               24767
perl-cgi-expand-2.05-r4.apk                        25-Oct-2024 20:07                7014
perl-cgi-expand-doc-2.05-r4.apk                    25-Oct-2024 20:07                6286
perl-cgi-simple-1.281-r0.apk                       12-Mar-2025 14:13               56848
perl-cgi-simple-doc-1.281-r0.apk                   12-Mar-2025 14:13               43541
perl-cgi-struct-1.21-r0.apk                        14-Mar-2025 16:31                8020
perl-cgi-struct-doc-1.21-r0.apk                    14-Mar-2025 16:31                6943
perl-check-unitcheck-0.13-r1.apk                   25-Oct-2024 20:07                5865
perl-check-unitcheck-doc-0.13-r1.apk               25-Oct-2024 20:07                3642
perl-class-accessor-grouped-0.10014-r2.apk         25-Oct-2024 20:07               12288
perl-class-accessor-grouped-doc-0.10014-r2.apk     25-Oct-2024 20:07                7634
perl-class-c3-0.35-r1.apk                          25-Oct-2024 20:07                9667
perl-class-c3-adopt-next-0.14-r0.apk               14-Mar-2025 16:31                5205
perl-class-c3-adopt-next-doc-0.14-r0.apk           14-Mar-2025 16:31                4787
perl-class-c3-componentised-1.001002-r2.apk        25-Oct-2024 20:07                5635
perl-class-c3-componentised-doc-1.001002-r2.apk    25-Oct-2024 20:07                5445
perl-class-c3-doc-0.35-r1.apk                      25-Oct-2024 20:07                9483
perl-class-inner-0.200001-r5.apk                   25-Oct-2024 20:07                4021
perl-class-inner-doc-0.200001-r5.apk               25-Oct-2024 20:07                4189
perl-cli-osprey-0.08-r0.apk                        28-Dec-2024 04:54               13053
perl-cli-osprey-doc-0.08-r0.apk                    28-Dec-2024 04:54               12340
perl-clipboard-0.32-r0.apk                         18-May-2025 15:45               10702
perl-clipboard-doc-0.32-r0.apk                     18-May-2025 15:45               27446
perl-color-ansi-util-0.165-r0.apk                  25-Oct-2024 20:07                7460
perl-color-ansi-util-doc-0.165-r0.apk              25-Oct-2024 20:07                5284
perl-color-rgb-util-0.609-r0.apk                   19-Mar-2025 11:44                9684
perl-color-rgb-util-doc-0.609-r0.apk               19-Mar-2025 11:44                7540
perl-conf-libconfig-1.0.3-r0.apk                   25-Oct-2024 20:07               23529
perl-conf-libconfig-doc-1.0.3-r0.apk               25-Oct-2024 20:07                5573
perl-constant-defer-6-r5.apk                       25-Oct-2024 20:07                7564
perl-constant-defer-doc-6-r5.apk                   25-Oct-2024 20:07                7100
perl-constant-generate-0.17-r5.apk                 25-Oct-2024 20:07                8942
perl-constant-generate-doc-0.17-r5.apk             25-Oct-2024 20:07                7191
perl-context-preserve-0.03-r4.apk                  25-Oct-2024 20:07                3942
perl-context-preserve-doc-0.03-r4.apk              25-Oct-2024 20:07                4294
perl-cpan-changes-0.500004-r0.apk                  25-Oct-2024 20:07               13981
perl-cpan-changes-doc-0.500004-r0.apk              25-Oct-2024 20:07               18670
perl-crypt-blowfish-2.14-r0.apk                    30-Apr-2025 16:21               12758
perl-crypt-blowfish-doc-2.14-r0.apk                30-Apr-2025 16:21                4142
perl-crypt-random-seed-0.03-r0.apk                 25-Oct-2024 20:07               11490
perl-crypt-random-seed-doc-0.03-r0.apk             25-Oct-2024 20:07                8995
perl-crypt-saltedhash-0.09-r5.apk                  25-Oct-2024 20:07                7094
perl-crypt-saltedhash-doc-0.09-r5.apk              25-Oct-2024 20:07                6495
perl-css-object-0.2.0-r0.apk                       25-Oct-2024 20:07               23301
perl-css-object-doc-0.2.0-r0.apk                   25-Oct-2024 20:07               33337
perl-daemon-control-0.001010-r2.apk                25-Oct-2024 20:07               12654
perl-daemon-control-doc-0.001010-r2.apk            25-Oct-2024 20:07                8429
perl-dancer-plugin-auth-extensible-1.00-r5.apk     25-Oct-2024 20:07               15654
perl-dancer-plugin-auth-extensible-doc-1.00-r5.apk 25-Oct-2024 20:07               15147
perl-dancer-plugin-dbic-0.2104-r5.apk              25-Oct-2024 20:07                5023
perl-dancer-plugin-dbic-doc-0.2104-r5.apk          25-Oct-2024 20:07                5462
perl-dancer-plugin-passphrase-2.0.1-r4.apk         25-Oct-2024 20:07                9908
perl-dancer-plugin-passphrase-doc-2.0.1-r4.apk     25-Oct-2024 20:07                8636
perl-dancer2-1.1.2-r0.apk                          28-Dec-2024 04:54              166949
perl-dancer2-doc-1.1.2-r0.apk                      28-Dec-2024 04:54              307990
perl-data-checks-0.10-r0.apk                       25-Oct-2024 20:07               21125
perl-data-checks-doc-0.10-r0.apk                   25-Oct-2024 20:07                8395
perl-data-clone-0.006-r0.apk                       29-Apr-2025 19:46                9505
perl-data-clone-doc-0.006-r0.apk                   29-Apr-2025 19:46                4612
perl-data-dump-streamer-2.42-r0.apk                29-Apr-2025 19:46               50654
perl-data-dump-streamer-doc-2.42-r0.apk            29-Apr-2025 19:46               17610
perl-data-validate-domain-0.15-r0.apk              25-Oct-2024 20:07                5962
perl-data-validate-domain-doc-0.15-r0.apk          25-Oct-2024 20:07                5580
perl-data-validate-ip-0.31-r1.apk                  25-Oct-2024 20:07                9022
perl-data-validate-ip-doc-0.31-r1.apk              25-Oct-2024 20:07                5988
perl-data-visitor-0.32-r0.apk                      19-Mar-2025 14:53               10011
perl-data-visitor-doc-0.32-r0.apk                  19-Mar-2025 14:53                8510
perl-database-async-0.019-r0.apk                   25-Oct-2024 20:07               23331
perl-database-async-doc-0.019-r0.apk               25-Oct-2024 20:07               29877
perl-database-async-engine-postgresql-1.005-r0.apk 25-Oct-2024 20:07               14272
perl-database-async-engine-postgresql-doc-1.005..> 25-Oct-2024 20:07                9536
perl-datetime-format-atom-1.8.0-r0.apk             05-Jan-2025 15:08                3229
perl-datetime-format-atom-doc-1.8.0-r0.apk         05-Jan-2025 15:08                3860
perl-datetime-format-flexible-0.37-r0.apk          31-Dec-2024 13:25               18483
perl-datetime-format-flexible-doc-0.37-r0.apk      31-Dec-2024 13:25               12411
perl-datetime-format-rfc3339-1.10.0-r0.apk         05-Jan-2025 15:08                4492
perl-datetime-format-rfc3339-doc-1.10.0-r0.apk     05-Jan-2025 15:08                4236
perl-datetime-timezone-alias-0.06-r0.apk           25-Oct-2024 20:07                2576
perl-datetime-timezone-alias-doc-0.06-r0.apk       25-Oct-2024 20:07                7785
perl-datetime-timezone-catalog-extend-0.3.3-r0.apk 25-Oct-2024 20:07               12091
perl-datetime-timezone-catalog-extend-doc-0.3.3..> 25-Oct-2024 20:07               15393
perl-dbicx-sugar-0.0200-r5.apk                     25-Oct-2024 20:07                6010
perl-dbicx-sugar-doc-0.0200-r5.apk                 25-Oct-2024 20:07                5442
perl-dbix-class-0.082844-r0.apk                    16-Jan-2025 17:26              364008
perl-dbix-class-candy-0.005004-r0.apk              30-Oct-2024 05:59                8062
perl-dbix-class-candy-doc-0.005004-r0.apk          30-Oct-2024 05:59                9708
perl-dbix-class-doc-0.082844-r0.apk                16-Jan-2025 17:26              430593
perl-dbix-class-helpers-2.037000-r0.apk            19-Nov-2024 22:34               48859
perl-dbix-class-helpers-doc-2.037000-r0.apk        19-Nov-2024 22:34              124277
perl-dbix-connector-0.60-r0.apk                    30-Dec-2024 06:37               15100
perl-dbix-connector-doc-0.60-r0.apk                30-Dec-2024 06:37               22837
perl-dbix-datasource-0.02-r5.apk                   25-Oct-2024 20:07                4386
perl-dbix-datasource-doc-0.02-r5.apk               25-Oct-2024 20:07                7704
perl-dbix-introspector-0.001005-r4.apk             25-Oct-2024 20:07                8223
perl-dbix-introspector-doc-0.001005-r4.apk         25-Oct-2024 20:07                8639
perl-dbix-lite-0.36-r0.apk                         30-Dec-2024 06:37               18448
perl-dbix-lite-doc-0.36-r0.apk                     30-Dec-2024 06:37               17937
perl-devel-confess-0.009004-r0.apk                 25-Oct-2024 20:07               11680
perl-devel-confess-doc-0.009004-r0.apk             25-Oct-2024 20:07                6792
perl-devel-leak-0.03-r13.apk                       25-Oct-2024 20:07                6567
perl-devel-leak-doc-0.03-r13.apk                   25-Oct-2024 20:07                3365
perl-devel-refcount-0.10-r1.apk                    25-Oct-2024 20:07                6148
perl-devel-refcount-doc-0.10-r1.apk                25-Oct-2024 20:07                4348
perl-devel-repl-1.003029-r0.apk                    24-Apr-2025 14:50               29028
perl-devel-repl-doc-1.003029-r0.apk                24-Apr-2025 14:50               61593
perl-devel-stacktrace-withlexicals-2.01-r0.apk     29-Apr-2025 19:46                3744
perl-devel-stacktrace-withlexicals-doc-2.01-r0.apk 29-Apr-2025 19:46                3507
perl-digest-bcrypt-1.212-r1.apk                    25-Oct-2024 20:07                5686
perl-digest-bcrypt-doc-1.212-r1.apk                25-Oct-2024 20:07                5282
perl-digest-crc-0.24-r1.apk                        25-Oct-2024 20:07                9750
perl-digest-crc-doc-0.24-r1.apk                    25-Oct-2024 20:07                3258
perl-dns-unbound-0.29-r1.apk                       25-Oct-2024 20:07               23411
perl-dns-unbound-anyevent-0.29-r1.apk              25-Oct-2024 20:07                2396
perl-dns-unbound-asyncquery-promisexs-0.29-r1.apk  25-Oct-2024 20:07                1979
perl-dns-unbound-doc-0.29-r1.apk                   25-Oct-2024 20:07               15645
perl-dns-unbound-ioasync-0.29-r1.apk               25-Oct-2024 20:07                2472
perl-dns-unbound-mojo-0.29-r1.apk                  25-Oct-2024 20:07                2737
perl-email-abstract-3.010-r0.apk                   25-Oct-2024 20:07                7820
perl-email-abstract-doc-3.010-r0.apk               25-Oct-2024 20:07               13190
perl-email-mime-attachment-stripper-1.317-r5.apk   25-Oct-2024 20:07                4046
perl-email-mime-attachment-stripper-doc-1.317-r..> 25-Oct-2024 20:07                3891
perl-email-reply-1.204-r5.apk                      25-Oct-2024 20:07                6274
perl-email-reply-doc-1.204-r5.apk                  25-Oct-2024 20:07                4901
perl-email-sender-2.601-r0.apk                     04-Apr-2025 08:55               25254
perl-email-sender-doc-2.601-r0.apk                 04-Apr-2025 08:55               43105
perl-ev-hiredis-0.07-r2.apk                        22-May-2025 06:53               13111
perl-ev-hiredis-doc-0.07-r2.apk                    22-May-2025 06:53                4306
perl-expect-1.38-r0.apk                            19-Apr-2025 19:01               33223
perl-expect-doc-1.38-r0.apk                        19-Apr-2025 19:01               20779
perl-expect-simple-0.04-r0.apk                     20-Apr-2025 04:38                5622
perl-expect-simple-doc-0.04-r0.apk                 20-Apr-2025 04:38                5001
perl-extutils-makemaker-7.70-r2.apk                25-Oct-2024 20:07              178919
perl-extutils-xsbuilder-0.28-r5.apk                25-Oct-2024 20:07               44081
perl-extutils-xsbuilder-doc-0.28-r5.apk            25-Oct-2024 20:07               21633
perl-feed-find-0.13-r0.apk                         25-Oct-2024 20:07                3925
perl-feed-find-doc-0.13-r0.apk                     25-Oct-2024 20:07                3809
perl-ffi-c-0.15-r0.apk                             25-Oct-2024 20:07               20322
perl-ffi-c-doc-0.15-r0.apk                         25-Oct-2024 20:07               29290
perl-ffi-platypus-2.10-r0.apk                      19-Dec-2024 04:41              186080
perl-ffi-platypus-doc-2.10-r0.apk                  19-Dec-2024 04:41              149650
perl-ffi-platypus-type-enum-0.06-r0.apk            25-Oct-2024 20:07                5309
perl-ffi-platypus-type-enum-doc-0.06-r0.apk        25-Oct-2024 20:07                5261
perl-file-changenotify-0.31-r0.apk                 20-Mar-2025 16:04               12397
perl-file-changenotify-doc-0.31-r0.apk             20-Mar-2025 16:04               14342
perl-file-mmagic-xs-0.09008-r4.apk                 25-Oct-2024 20:07               28207
perl-file-mmagic-xs-doc-0.09008-r4.apk             25-Oct-2024 20:07                4300
perl-file-rename-2.02-r0.apk                       25-Oct-2024 20:07                7701
perl-file-rename-doc-2.02-r0.apk                   25-Oct-2024 20:07               12356
perl-flowd-0.9.1-r10.apk                           25-Oct-2024 20:07               23387
perl-flowd-doc-0.9.1-r10.apk                       25-Oct-2024 20:07                3282
perl-freezethaw-0.5001-r2.apk                      25-Oct-2024 20:07               10060
perl-freezethaw-doc-0.5001-r2.apk                  25-Oct-2024 20:07                5777
perl-full-1.004-r0.apk                             25-Oct-2024 20:07                7286
perl-full-doc-1.004-r0.apk                         25-Oct-2024 20:07               10545
perl-future-asyncawait-hooks-0.02-r0.apk           25-Oct-2024 20:07                7885
perl-future-asyncawait-hooks-doc-0.02-r0.apk       25-Oct-2024 20:07                3322
perl-future-http-0.17-r0.apk                       25-Oct-2024 20:07                9457
perl-future-http-doc-0.17-r0.apk                   25-Oct-2024 20:07               16056
perl-future-q-0.120-r0.apk                         25-Oct-2024 20:07                9814
perl-future-q-doc-0.120-r0.apk                     25-Oct-2024 20:07                9310
perl-future-queue-0.52-r0.apk                      25-Oct-2024 20:07                4166
perl-future-queue-doc-0.52-r0.apk                  25-Oct-2024 20:07                4352
perl-gearman-2.004.015-r3.apk                      25-Oct-2024 20:07               28103
perl-gearman-doc-2.004.015-r3.apk                  25-Oct-2024 20:07               20233
perl-getopt-long-descriptive-0.116-r0.apk          31-Dec-2024 09:39               14985
perl-getopt-long-descriptive-doc-0.116-r0.apk      31-Dec-2024 09:39               11251
perl-getopt-tabular-0.3-r4.apk                     25-Oct-2024 20:07               23780
perl-getopt-tabular-doc-0.3-r4.apk                 25-Oct-2024 20:07               17124
perl-git-raw-0.90-r3.apk                           13-Mar-2025 23:14              166312
perl-git-raw-doc-0.90-r3.apk                       13-Mar-2025 23:14              120114
perl-git-repository-1.325-r0.apk                   25-Oct-2024 20:07               16707
perl-git-repository-doc-1.325-r0.apk               25-Oct-2024 20:07               32236
perl-git-version-compare-1.005-r0.apk              25-Oct-2024 20:07                5509
perl-git-version-compare-doc-1.005-r0.apk          25-Oct-2024 20:07                4960
perl-glib-ex-objectbits-17-r0.apk                  25-Oct-2024 20:07               15409
perl-glib-ex-objectbits-doc-17-r0.apk              25-Oct-2024 20:07               22924
perl-glib-object-introspection-0.051-r1.apk        25-Oct-2024 20:07               57305
perl-glib-object-introspection-doc-0.051-r1.apk    25-Oct-2024 20:07               11326
perl-graphql-client-0.605-r0.apk                   25-Oct-2024 20:07                7295
perl-graphql-client-cli-0.605-r0.apk               25-Oct-2024 20:07                7986
perl-graphql-client-doc-0.605-r0.apk               25-Oct-2024 20:07               14294
perl-gtk2-1.24993-r6.apk                           25-Oct-2024 20:07              836960
perl-gtk2-doc-1.24993-r6.apk                       25-Oct-2024 20:07              686481
perl-gtk2-ex-listmodelconcat-11-r4.apk             25-Oct-2024 20:07               13047
perl-gtk2-ex-listmodelconcat-doc-11-r4.apk         25-Oct-2024 20:07                7457
perl-gtk2-ex-widgetbits-48-r3.apk                  25-Oct-2024 20:07               67277
perl-gtk2-ex-widgetbits-doc-48-r3.apk              25-Oct-2024 20:07               83158
perl-gtk3-0.038-r1.apk                             25-Oct-2024 20:07               20086
perl-gtk3-doc-0.038-r1.apk                         25-Oct-2024 20:07                9356
perl-guard-1.023-r9.apk                            25-Oct-2024 20:07                8355
perl-guard-doc-1.023-r9.apk                        25-Oct-2024 20:07                5402
perl-hash-ordered-0.014-r0.apk                     25-Oct-2024 20:07               10009
perl-hash-ordered-doc-0.014-r0.apk                 25-Oct-2024 20:07               19677
perl-html-formhandler-0.40068-r0.apk               01-May-2025 06:34              138718
perl-html-formhandler-doc-0.40068-r0.apk           01-May-2025 06:34              330983
perl-html-object-0.5.1-r0.apk                      25-Oct-2024 20:07              356699
perl-html-object-doc-0.5.1-r0.apk                  25-Oct-2024 20:07              483177
perl-html-selector-xpath-0.28-r0.apk               25-Oct-2024 20:07                6343
perl-html-selector-xpath-doc-0.28-r0.apk           25-Oct-2024 20:07                4144
perl-html-tableextract-2.15-r4.apk                 25-Oct-2024 20:07               18089
perl-html-tableextract-doc-2.15-r4.apk             25-Oct-2024 20:07               10141
perl-http-thin-0.006-r0.apk                        25-Oct-2024 20:07                3137
perl-http-thin-doc-0.006-r0.apk                    25-Oct-2024 20:07                3509
perl-http-xsheaders-0.400005-r1.apk                25-Oct-2024 20:07               17737
perl-http-xsheaders-doc-0.400005-r1.apk            25-Oct-2024 20:07                6467
perl-i18n-langinfo-wide-9-r4.apk                   25-Oct-2024 20:07                4304
perl-i18n-langinfo-wide-doc-9-r4.apk               25-Oct-2024 20:07                4168
perl-indirect-0.39-r1.apk                          25-Oct-2024 20:07               14915
perl-indirect-doc-0.39-r1.apk                      25-Oct-2024 20:07                6504
perl-io-lambda-1.34-r0.apk                         25-Oct-2024 20:07               77270
perl-io-lambda-doc-1.34-r0.apk                     25-Oct-2024 20:07               69576
perl-io-sessiondata-1.03-r3.apk                    25-Oct-2024 20:07                5881
perl-json-maybeutf8-2.000-r0.apk                   25-Oct-2024 20:07                3179
perl-json-maybeutf8-doc-2.000-r0.apk               25-Oct-2024 20:07                3625
perl-json-validator-5.15-r0.apk                    19-Mar-2025 11:44               59542
perl-json-validator-doc-5.15-r0.apk                19-Mar-2025 11:44               34626
perl-lexical-persistence-1.023-r0.apk              29-Apr-2025 19:46                7691
perl-lexical-persistence-doc-1.023-r0.apk          29-Apr-2025 19:46                7755
perl-lib-abs-0.95-r0.apk                           25-Oct-2024 20:07                3951
perl-lib-abs-doc-0.95-r0.apk                       25-Oct-2024 20:07                4053
perl-libapreq2-2.17-r2.apk                         25-Oct-2024 20:07               96841
perl-libapreq2-dev-2.17-r2.apk                     25-Oct-2024 20:07               55935
perl-libapreq2-doc-2.17-r2.apk                     25-Oct-2024 20:07               37976
perl-libintl-perl-1.35-r0.apk                      16-Jan-2025 13:35              312243
perl-libintl-perl-doc-1.35-r0.apk                  16-Jan-2025 13:35              585133
perl-linux-pid-0.04-r14.apk                        27-May-2025 14:36                4668
perl-linux-pid-doc-0.04-r14.apk                    27-May-2025 14:36                3111
perl-list-binarysearch-0.25-r0.apk                 25-Oct-2024 20:07               10175
perl-list-binarysearch-doc-0.25-r0.apk             25-Oct-2024 20:07               11795
perl-list-binarysearch-xs-0.09-r1.apk              25-Oct-2024 20:07               11826
perl-list-binarysearch-xs-doc-0.09-r1.apk          25-Oct-2024 20:07                8260
perl-list-keywords-0.11-r0.apk                     25-Oct-2024 20:07               13871
perl-list-keywords-doc-0.11-r0.apk                 25-Oct-2024 20:07                5646
perl-log-fu-0.31-r4.apk                            25-Oct-2024 20:07               10738
perl-log-fu-doc-0.31-r4.apk                        25-Oct-2024 20:07                7415
perl-log-message-0.08-r3.apk                       25-Oct-2024 20:07               10838
perl-log-message-doc-0.08-r3.apk                   25-Oct-2024 20:07               12422
perl-log-message-simple-0.10-r3.apk                25-Oct-2024 20:07                4294
perl-log-message-simple-doc-0.10-r3.apk            25-Oct-2024 20:07                4022
perl-lwp-online-1.08-r0.apk                        25-Oct-2024 20:07                6273
perl-lwp-online-doc-1.08-r0.apk                    25-Oct-2024 20:07                5809
perl-lwp-useragent-cached-0.08-r1.apk              25-Oct-2024 20:07                6517
perl-lwp-useragent-cached-doc-0.08-r1.apk          25-Oct-2024 20:07                5769
perl-mastodon-client-0.017-r0.apk                  25-Oct-2024 20:07               22567
perl-mastodon-client-doc-0.017-r0.apk              25-Oct-2024 20:07               33749
perl-math-int64-0.57-r1.apk                        25-Oct-2024 20:07               29442
perl-math-int64-doc-0.57-r1.apk                    25-Oct-2024 20:07               10689
perl-math-libm-1.00-r14.apk                        25-Oct-2024 20:07                9692
perl-math-libm-doc-1.00-r14.apk                    25-Oct-2024 20:07                3221
perl-math-random-0.72-r0.apk                       25-Oct-2024 20:07               34821
perl-math-random-doc-0.72-r0.apk                   25-Oct-2024 20:07               11099
perl-math-random-isaac-xs-1.004-r8.apk             25-Oct-2024 20:07                7514
perl-math-random-isaac-xs-doc-1.004-r8.apk         25-Oct-2024 20:07                3854
perl-mce-1.901-r0.apk                              03-Jan-2025 04:21              137556
perl-mce-doc-1.901-r0.apk                          03-Jan-2025 04:21              173732
perl-minion-10.31-r0.apk                           25-Oct-2024 20:07             1576804
perl-minion-backend-pg-10.31-r0.apk                25-Oct-2024 20:07                9860
perl-minion-backend-redis-0.003-r0.apk             25-Oct-2024 20:07               10733
perl-minion-backend-redis-doc-0.003-r0.apk         25-Oct-2024 20:07                6714
perl-minion-backend-sqlite-5.0.7-r0.apk            25-Oct-2024 20:07               10343
perl-minion-backend-sqlite-doc-5.0.7-r0.apk        25-Oct-2024 20:07                6915
perl-minion-doc-10.31-r0.apk                       25-Oct-2024 20:07               50161
perl-module-build-prereqs-fromcpanfile-0.02-r0.apk 25-Oct-2024 20:07                3500
perl-module-build-prereqs-fromcpanfile-doc-0.02..> 25-Oct-2024 20:07                3844
perl-module-generic-0.43.3-r0.apk                  23-Apr-2025 04:24              279012
perl-module-generic-doc-0.43.3-r0.apk              23-Apr-2025 04:24              219526
perl-mojo-reactor-ioasync-1.002-r0.apk             25-Oct-2024 20:07                4829
perl-mojo-reactor-ioasync-doc-1.002-r0.apk         25-Oct-2024 20:07                4462
perl-mojo-redis-3.29-r0.apk                        25-Oct-2024 20:07               25762
perl-mojo-redis-doc-3.29-r0.apk                    25-Oct-2024 20:07               25175
perl-mojo-sqlite-3.009-r0.apk                      25-Oct-2024 20:07               16316
perl-mojo-sqlite-doc-3.009-r0.apk                  25-Oct-2024 20:07               19803
perl-mojolicious-plugin-openapi-5.11-r0.apk        19-Mar-2025 11:44               29047
perl-mojolicious-plugin-openapi-doc-5.11-r0.apk    19-Mar-2025 11:44               34266
perl-moosex-configfromfile-0.14-r0.apk             16-Mar-2025 04:03                4187
perl-moosex-configfromfile-doc-0.14-r0.apk         16-Mar-2025 04:03                4253
perl-moosex-emulate-class-accessor-fast-0.00903..> 16-Mar-2025 04:03                5566
perl-moosex-emulate-class-accessor-fast-doc-0.0..> 16-Mar-2025 04:03                5474
perl-moosex-getopt-0.78-r0.apk                     16-Mar-2025 04:03               13949
perl-moosex-getopt-doc-0.78-r0.apk                 16-Mar-2025 04:03               25312
perl-moosex-methodattributes-0.32-r0.apk           19-Mar-2025 11:44                8760
perl-moosex-methodattributes-doc-0.32-r0.apk       19-Mar-2025 11:44               22584
perl-moosex-nonmoose-0.27-r0.apk                   02-Jun-2025 13:49                8382
perl-moosex-nonmoose-doc-0.27-r0.apk               02-Jun-2025 13:49                9648
perl-moosex-object-pluggable-0.0014-r0.apk         22-Apr-2025 21:28                6124
perl-moosex-object-pluggable-doc-0.0014-r0.apk     22-Apr-2025 21:28                5629
perl-moosex-relatedclassroles-0.004-r0.apk         01-May-2025 06:34                2864
perl-moosex-relatedclassroles-doc-0.004-r0.apk     01-May-2025 06:34                3420
perl-moosex-role-parameterized-1.11-r0.apk         15-Mar-2025 14:08                7913
perl-moosex-role-parameterized-doc-1.11-r0.apk     15-Mar-2025 14:08               24066
perl-moosex-simpleconfig-0.11-r0.apk               16-Mar-2025 04:03                3883
perl-moosex-simpleconfig-doc-0.11-r0.apk           16-Mar-2025 04:03                4257
perl-moosex-traits-pluggable-0.12-r0.apk           01-May-2025 06:34                5065
perl-moosex-traits-pluggable-doc-0.12-r0.apk       01-May-2025 06:34                4307
perl-moosex-types-loadableclass-0.016-r0.apk       30-Apr-2025 16:21                3300
perl-moosex-types-loadableclass-doc-0.016-r0.apk   30-Apr-2025 16:21                3838
perl-moosex-types-path-tiny-0.012-r0.apk           15-Mar-2025 14:08                4100
perl-moosex-types-path-tiny-doc-0.012-r0.apk       15-Mar-2025 14:08                4214
perl-moosex-types-stringlike-0.003-r0.apk          15-Mar-2025 14:08                3120
perl-moosex-types-stringlike-doc-0.003-r0.apk      15-Mar-2025 14:08                3669
perl-multidimensional-0.014-r0.apk                 25-Oct-2024 20:07                4835
perl-multidimensional-doc-0.014-r0.apk             25-Oct-2024 20:07                3174
perl-musicbrainz-discid-0.06-r1.apk                25-Oct-2024 20:07                9200
perl-musicbrainz-discid-doc-0.06-r1.apk            25-Oct-2024 20:07                4384
perl-net-address-ip-local-0.1.2-r0.apk             25-Oct-2024 20:07                3510
perl-net-address-ip-local-doc-0.1.2-r0.apk         25-Oct-2024 20:07                3558
perl-net-amqp-rabbitmq-2.40012-r0.apk              25-Oct-2024 20:07               75237
perl-net-amqp-rabbitmq-doc-2.40012-r0.apk          25-Oct-2024 20:07                9919
perl-net-async-redis-6.006-r0.apk                  11-Dec-2024 16:22               59838
perl-net-async-redis-doc-6.006-r0.apk              11-Dec-2024 16:22               67477
perl-net-async-redis-xs-1.001-r1.apk               25-Oct-2024 20:07                8820
perl-net-async-redis-xs-doc-1.001-r1.apk           25-Oct-2024 20:07                5450
perl-net-curl-0.57-r0.apk                          25-Jan-2025 07:04               58268
perl-net-curl-doc-0.57-r0.apk                      25-Jan-2025 07:04               40039
perl-net-curl-promiser-0.20-r0.apk                 25-Oct-2024 20:07                9061
perl-net-curl-promiser-anyevent-0.20-r0.apk        25-Oct-2024 20:07                2770
perl-net-curl-promiser-doc-0.20-r0.apk             25-Oct-2024 20:07               11907
perl-net-curl-promiser-ioasync-0.20-r0.apk         25-Oct-2024 20:07                3025
perl-net-curl-promiser-mojo-0.20-r0.apk            25-Oct-2024 20:07                3167
perl-net-idn-encode-2.500-r1.apk                   25-Oct-2024 20:07               85759
perl-net-idn-encode-doc-2.500-r1.apk               25-Oct-2024 20:07               22243
perl-net-irr-0.10-r0.apk                           25-Oct-2024 20:07                5583
perl-net-irr-doc-0.10-r0.apk                       25-Oct-2024 20:07                5273
perl-net-jabber-2.0-r0.apk                         25-Oct-2024 20:07               51814
perl-net-jabber-bot-2.1.7-r0.apk                   25-Oct-2024 20:07               13792
perl-net-jabber-bot-doc-2.1.7-r0.apk               25-Oct-2024 20:07                7743
perl-net-jabber-doc-2.0-r0.apk                     25-Oct-2024 20:07               49486
perl-net-netmask-2.0003-r0.apk                     18-May-2025 04:10               13845
perl-net-netmask-doc-2.0003-r0.apk                 18-May-2025 04:10                8758
perl-net-patricia-1.22-r12.apk                     25-Oct-2024 20:07               20077
perl-net-patricia-doc-1.22-r12.apk                 25-Oct-2024 20:07                6188
perl-net-xmpp-1.05-r0.apk                          25-Oct-2024 20:07               59022
perl-net-xmpp-doc-1.05-r0.apk                      25-Oct-2024 20:07               44663
perl-netaddr-mac-0.98-r1.apk                       25-Oct-2024 20:07               11078
perl-netaddr-mac-doc-0.98-r1.apk                   25-Oct-2024 20:07                8158
perl-nice-try-1.3.16-r0.apk                        01-May-2025 05:50               28489
perl-nice-try-doc-1.3.16-r0.apk                    01-May-2025 05:50               12749
perl-number-format-1.76-r1.apk                     25-Oct-2024 20:07               15605
perl-number-format-doc-1.76-r1.apk                 25-Oct-2024 20:07                9213
perl-number-misc-1.2-r5.apk                        25-Oct-2024 20:07                5295
perl-number-misc-doc-1.2-r5.apk                    25-Oct-2024 20:07                4473
perl-number-tolerant-1.710-r0.apk                  25-Oct-2024 20:07               15171
perl-number-tolerant-doc-1.710-r0.apk              25-Oct-2024 20:07               26235
perl-object-array-0.060-r0.apk                     25-Oct-2024 20:07                5826
perl-object-array-doc-0.060-r0.apk                 25-Oct-2024 20:07                7132
perl-object-pad-fieldattr-checked-0.12-r0.apk      25-Oct-2024 20:07                8229
perl-object-pad-fieldattr-checked-doc-0.12-r0.apk  25-Oct-2024 20:07                4572
perl-object-signature-1.08-r0.apk                  04-Apr-2025 11:56                3760
perl-object-signature-doc-1.08-r0.apk              04-Apr-2025 11:56                5541
perl-openapi-client-1.07-r0.apk                    25-Oct-2024 20:07                8831
perl-openapi-client-doc-1.07-r0.apk                25-Oct-2024 20:07                7512
perl-opentracing-1.006-r0.apk                      25-Oct-2024 20:07               18393
perl-opentracing-doc-1.006-r0.apk                  25-Oct-2024 20:07               33488
perl-pango-1.227-r11.apk                           25-Oct-2024 20:07               76795
perl-pango-doc-1.227-r11.apk                       25-Oct-2024 20:07               82817
perl-path-iter-0.2-r3.apk                          25-Oct-2024 20:07                5322
perl-path-iter-doc-0.2-r3.apk                      25-Oct-2024 20:07                5256
perl-perlio-locale-0.10-r12.apk                    25-Oct-2024 20:07                4470
perl-perlio-locale-doc-0.10-r12.apk                25-Oct-2024 20:07                3120
perl-plack-middleware-expires-0.06-r3.apk          25-Oct-2024 20:07                3947
perl-plack-middleware-expires-doc-0.06-r3.apk      25-Oct-2024 20:07                3407
perl-plack-middleware-fixmissingbodyinredirect-..> 26-Dec-2024 09:36                3156
perl-plack-middleware-fixmissingbodyinredirect-..> 26-Dec-2024 09:36                3140
perl-plack-middleware-methodoverride-0.20-r0.apk   19-Mar-2025 11:44                3727
perl-plack-middleware-methodoverride-doc-0.20-r..> 19-Mar-2025 11:44                4141
perl-plack-middleware-removeredundantbody-0.09-..> 27-Dec-2024 14:02                2566
perl-plack-middleware-removeredundantbody-doc-0..> 27-Dec-2024 14:02                3152
perl-plack-middleware-reverseproxy-0.16-r2.apk     25-Oct-2024 20:07                3218
perl-plack-middleware-reverseproxy-doc-0.16-r2.apk 25-Oct-2024 20:07                3105
perl-plack-test-externalserver-0.02-r0.apk         19-Mar-2025 11:44                2877
perl-plack-test-externalserver-doc-0.02-r0.apk     19-Mar-2025 11:44                3202
perl-pod-cpandoc-0.16-r6.apk                       25-Oct-2024 20:07                4664
perl-pod-cpandoc-doc-0.16-r6.apk                   25-Oct-2024 20:07                4996
perl-pod-tidy-0.10-r1.apk                          25-Oct-2024 20:07               10608
perl-pod-tidy-doc-0.10-r1.apk                      25-Oct-2024 20:07               10781
perl-ppi-xs-0.910-r1.apk                           25-Oct-2024 20:07                5753
perl-ppi-xs-doc-0.910-r1.apk                       25-Oct-2024 20:07                3449
perl-proc-guard-0.07-r4.apk                        25-Oct-2024 20:07                3757
perl-proc-guard-doc-0.07-r4.apk                    25-Oct-2024 20:07                3604
perl-promise-es6-0.28-r0.apk                       25-Oct-2024 20:07               10953
perl-promise-es6-anyevent-0.28-r0.apk              25-Oct-2024 20:07                2549
perl-promise-es6-doc-0.28-r0.apk                   25-Oct-2024 20:07               12340
perl-promise-es6-future-0.28-r0.apk                25-Oct-2024 20:07                2338
perl-promise-es6-io-async-0.28-r0.apk              25-Oct-2024 20:07                3014
perl-promise-es6-mojo-ioloop-0.28-r0.apk           25-Oct-2024 20:07                2624
perl-promise-me-0.5.0-r0.apk                       25-Oct-2024 20:07               26180
perl-promise-me-doc-0.5.0-r0.apk                   25-Oct-2024 20:07               12297
perl-promise-xs-0.20-r1.apk                        25-Oct-2024 20:07               22112
perl-promise-xs-doc-0.20-r1.apk                    25-Oct-2024 20:07                8874
perl-protocol-database-postgresql-2.001-r0.apk     25-Oct-2024 20:07               19621
perl-protocol-database-postgresql-doc-2.001-r0.apk 25-Oct-2024 20:07               36701
perl-protocol-redis-1.0021-r0.apk                  25-Oct-2024 20:07                5750
perl-protocol-redis-doc-1.0021-r0.apk              25-Oct-2024 20:07                5157
perl-protocol-redis-faster-0.003-r0.apk            25-Oct-2024 20:07                3488
perl-protocol-redis-faster-doc-0.003-r0.apk        25-Oct-2024 20:07                3383
perl-ref-util-xs-0.117-r8.apk                      25-Oct-2024 20:07                8814
perl-ref-util-xs-doc-0.117-r8.apk                  25-Oct-2024 20:07                3482
perl-role-eventemitter-0.003-r0.apk                25-Oct-2024 20:07                3741
perl-role-eventemitter-doc-0.003-r0.apk            25-Oct-2024 20:07                4025
perl-rxperl-6.29.8-r0.apk                          25-Oct-2024 20:07               26914
perl-rxperl-anyevent-6.8.1-r0.apk                  25-Oct-2024 20:07                2775
perl-rxperl-anyevent-doc-6.8.1-r0.apk              25-Oct-2024 20:07                9155
perl-rxperl-doc-6.29.8-r0.apk                      25-Oct-2024 20:07               23118
perl-rxperl-ioasync-6.9.1-r0.apk                   25-Oct-2024 20:07                2880
perl-rxperl-ioasync-doc-6.9.1-r0.apk               25-Oct-2024 20:07                9200
perl-rxperl-mojo-6.8.2-r0.apk                      25-Oct-2024 20:07                2910
perl-rxperl-mojo-doc-6.8.2-r0.apk                  25-Oct-2024 20:07                9276
perl-ryu-4.001-r0.apk                              25-Oct-2024 20:07               26688
perl-ryu-async-0.020-r0.apk                        25-Oct-2024 20:07                7669
perl-ryu-async-doc-0.020-r0.apk                    25-Oct-2024 20:07               12100
perl-ryu-doc-4.001-r0.apk                          25-Oct-2024 20:07               36245
perl-scalar-readonly-0.03-r1.apk                   25-Oct-2024 20:07                5285
perl-scalar-readonly-doc-0.03-r1.apk               25-Oct-2024 20:07                3458
perl-signature-attribute-checked-0.06-r0.apk       25-Oct-2024 20:07                7732
perl-signature-attribute-checked-doc-0.06-r0.apk   25-Oct-2024 20:07                4678
perl-snmp-5.0404-r13.apk                           25-Oct-2024 20:07               69312
perl-snmp-doc-5.0404-r13.apk                       25-Oct-2024 20:07               14330
perl-snmp-info-3.972002-r0.apk                     30-Oct-2024 05:59              340714
perl-snmp-info-doc-3.972002-r0.apk                 30-Oct-2024 05:59              387944
perl-soap-lite-1.27-r5.apk                         25-Oct-2024 20:07              112849
perl-soap-lite-doc-1.27-r5.apk                     25-Oct-2024 20:07               92621
perl-sort-naturally-1.03-r4.apk                    25-Oct-2024 20:07                8855
perl-sort-naturally-doc-1.03-r4.apk                25-Oct-2024 20:07                5570
perl-sort-versions-1.62-r0.apk                     25-Oct-2024 20:07                3808
perl-sort-versions-doc-1.62-r0.apk                 25-Oct-2024 20:07                4223
perl-sql-abstract-classic-1.91-r1.apk              25-Oct-2024 20:07               30209
perl-sql-abstract-classic-doc-1.91-r1.apk          25-Oct-2024 20:07               20699
perl-sql-abstract-more-1.43-r0.apk                 30-Dec-2024 06:37               28109
perl-sql-abstract-more-doc-1.43-r0.apk             30-Dec-2024 06:37               16940
perl-starman-0.4017-r0.apk                         25-Oct-2024 20:07               13735
perl-starman-doc-0.4017-r0.apk                     25-Oct-2024 20:07               10220
perl-statistics-basic-1.6611-r0.apk                25-Oct-2024 20:07                9437
perl-statistics-basic-doc-1.6611-r0.apk            25-Oct-2024 20:07               50976
perl-statistics-descriptive-3.0801-r0.apk          25-Oct-2024 20:07               31016
perl-statistics-descriptive-doc-3.0801-r0.apk      25-Oct-2024 20:07               38391
perl-storable-improved-0.1.3-r0.apk                25-Oct-2024 20:07                6839
perl-storable-improved-doc-0.1.3-r0.apk            25-Oct-2024 20:07                7053
perl-string-camelcase-0.04-r2.apk                  25-Oct-2024 20:07                3245
perl-string-camelcase-doc-0.04-r2.apk              25-Oct-2024 20:07                3522
perl-string-compare-constanttime-0.321-r6.apk      25-Oct-2024 20:07                7310
perl-string-compare-constanttime-doc-0.321-r6.apk  25-Oct-2024 20:07                5343
perl-string-crc32-2.100-r4.apk                     25-Oct-2024 20:07                6951
perl-string-crc32-doc-2.100-r4.apk                 25-Oct-2024 20:07                3450
perl-string-escape-2010.002-r0.apk                 28-Mar-2025 16:15                9083
perl-string-escape-doc-2010.002-r0.apk             28-Mar-2025 16:15                8018
perl-string-random-0.32-r2.apk                     25-Oct-2024 20:07                8080
perl-string-random-doc-0.32-r2.apk                 25-Oct-2024 20:07                6320
perl-syntax-keyword-match-0.15-r0.apk              25-Oct-2024 20:07               13673
perl-syntax-keyword-match-doc-0.15-r0.apk          25-Oct-2024 20:07                7950
perl-syntax-operator-equ-0.10-r0.apk               25-Oct-2024 20:07                8005
perl-syntax-operator-equ-doc-0.10-r0.apk           25-Oct-2024 20:07                6679
perl-syntax-operator-in-0.10-r0.apk                25-Oct-2024 20:07                9661
perl-syntax-operator-in-doc-0.10-r0.apk            25-Oct-2024 20:07                5981
perl-sys-syscall-0.25-r10.apk                      25-Oct-2024 20:07                5480
perl-sys-syscall-doc-0.25-r10.apk                  25-Oct-2024 20:07                3776
perl-sys-virt-11.1.0-r0.apk                        21-Mar-2025 11:43              199290
perl-sys-virt-doc-11.1.0-r0.apk                    21-Mar-2025 11:43              101186
perl-system-command-1.122-r0.apk                   25-Oct-2024 20:07               12098
perl-system-command-doc-1.122-r0.apk               25-Oct-2024 20:07               10412
perl-template-plugin-csv-0.04-r3.apk               25-Oct-2024 20:07                2755
perl-template-plugin-csv-doc-0.04-r3.apk           25-Oct-2024 20:07                3083
perl-template-plugin-number-format-1.06-r4.apk     25-Oct-2024 20:07                5004
perl-template-plugin-number-format-doc-1.06-r4.apk 25-Oct-2024 20:07                4480
perl-template-timer-1.00-r0.apk                    12-Apr-2025 15:51                3511
perl-template-timer-doc-1.00-r0.apk                12-Apr-2025 15:51                3743
perl-template-tiny-1.14-r0.apk                     15-Dec-2024 10:09                5291
perl-template-tiny-doc-1.14-r0.apk                 15-Dec-2024 10:09                4802
perl-term-size-0.211-r4.apk                        25-Oct-2024 20:07                5682
perl-term-size-doc-0.211-r4.apk                    25-Oct-2024 20:07                3830
perl-term-ui-0.50-r1.apk                           25-Oct-2024 20:07               10209
perl-term-ui-doc-0.50-r1.apk                       25-Oct-2024 20:07                8680
perl-test-api-0.010-r2.apk                         25-Oct-2024 20:07                5191
perl-test-api-doc-0.010-r2.apk                     25-Oct-2024 20:07                4332
perl-test-class-tiny-0.03-r0.apk                   25-Oct-2024 20:07                5995
perl-test-class-tiny-doc-0.03-r0.apk               25-Oct-2024 20:07                5548
perl-test-describeme-0.004-r0.apk                  25-Oct-2024 20:07                3675
perl-test-describeme-doc-0.004-r0.apk              25-Oct-2024 20:07                4283
perl-test-distribution-2.00-r1.apk                 25-Oct-2024 20:07                7923
perl-test-distribution-doc-2.00-r1.apk             25-Oct-2024 20:07                6202
perl-test-expander-2.5.1-r0.apk                    25-Oct-2024 20:07                7281
perl-test-expander-doc-2.5.1-r0.apk                25-Oct-2024 20:07               20559
perl-test-expect-0.34-r0.apk                       22-Apr-2025 21:28                3553
perl-test-expect-doc-0.34-r0.apk                   22-Apr-2025 21:28                3659
perl-test-file-1.995-r0.apk                        19-Apr-2025 17:55               11686
perl-test-file-doc-1.995-r0.apk                    19-Apr-2025 17:55                6958
perl-test-files-0.26-r0.apk                        25-Oct-2024 20:07                6872
perl-test-files-doc-0.26-r0.apk                    25-Oct-2024 20:07               14925
perl-test-lwp-useragent-0.036-r0.apk               25-Oct-2024 20:07               10045
perl-test-lwp-useragent-doc-0.036-r0.apk           25-Oct-2024 20:07                8528
perl-test-memorygrowth-0.05-r0.apk                 25-Oct-2024 20:07                6574
perl-test-memorygrowth-doc-0.05-r0.apk             25-Oct-2024 20:07                5413
perl-test-modern-0.013-r3.apk                      25-Oct-2024 20:07               14955
perl-test-modern-doc-0.013-r3.apk                  25-Oct-2024 20:07               10083
perl-test-randomresult-0.001-r0.apk                25-Oct-2024 20:07                3603
perl-test-randomresult-doc-0.001-r0.apk            25-Oct-2024 20:07                3761
perl-test-requires-git-1.008-r0.apk                25-Oct-2024 20:07                4878
perl-test-requires-git-doc-1.008-r0.apk            25-Oct-2024 20:07                4486
perl-test-roo-1.004-r3.apk                         25-Oct-2024 20:07               12174
perl-test-roo-doc-1.004-r3.apk                     25-Oct-2024 20:07               15817
perl-test-settings-0.003-r0.apk                    25-Oct-2024 20:07                5067
perl-test-settings-doc-0.003-r0.apk                25-Oct-2024 20:07                6187
perl-test-timer-2.12-r2.apk                        25-Oct-2024 20:07                9077
perl-test-timer-doc-2.12-r2.apk                    25-Oct-2024 20:07                8574
perl-test-toolbox-0.4-r5.apk                       25-Oct-2024 20:07               10069
perl-test-toolbox-doc-0.4-r5.apk                   25-Oct-2024 20:07                6299
perl-test-trap-0.3.5-r1.apk                        25-Oct-2024 20:07               20226
perl-test-trap-doc-0.3.5-r1.apk                    25-Oct-2024 20:07               20361
perl-test-unit-0.27-r0.apk                         25-Oct-2024 20:07               37729
perl-test-unit-doc-0.27-r0.apk                     25-Oct-2024 20:07               49467
perl-test-useallmodules-0.17-r1.apk                25-Oct-2024 20:07                3890
perl-test-useallmodules-doc-0.17-r1.apk            25-Oct-2024 20:07                3938
perl-test-utf8-1.03-r0.apk                         19-Nov-2024 22:34                5705
perl-test-utf8-doc-1.03-r0.apk                     19-Nov-2024 22:34                4976
perl-test-www-mechanize-1.60-r0.apk                13-Apr-2025 14:57               15168
perl-test-www-mechanize-catalyst-0.62-r0.apk       20-Apr-2025 04:32                7534
perl-test-www-mechanize-catalyst-doc-0.62-r0.apk   20-Apr-2025 04:32                6375
perl-test-www-mechanize-doc-1.60-r0.apk            13-Apr-2025 14:57               10322
perl-test2-tools-explain-0.02-r0.apk               25-Oct-2024 20:07                3882
perl-test2-tools-explain-doc-0.02-r0.apk           25-Oct-2024 20:07                4520
perl-text-brew-0.02-r5.apk                         25-Oct-2024 20:07                4618
perl-text-brew-doc-0.02-r5.apk                     25-Oct-2024 20:07                4218
perl-text-simpletable-2.07-r0.apk                  19-Mar-2025 11:44                4569
perl-text-simpletable-doc-2.07-r0.apk              19-Mar-2025 11:44                3535
perl-text-table-any-0.117-r0.apk                   25-Oct-2024 20:07                8245
perl-text-table-any-doc-0.117-r0.apk               25-Oct-2024 20:07                6786
perl-text-table-sprintf-0.008-r0.apk               25-Oct-2024 20:07                5465
perl-text-table-sprintf-doc-0.008-r0.apk           25-Oct-2024 20:07                5322
perl-throwable-1.001-r1.apk                        25-Oct-2024 20:07                6354
perl-throwable-doc-1.001-r1.apk                    25-Oct-2024 20:07                8185
perl-tickit-widget-choice-0.07-r0.apk              25-Oct-2024 20:07                4005
perl-tickit-widget-choice-doc-0.07-r0.apk          25-Oct-2024 20:07                3473
perl-tickit-widget-entry-plugin-completion-0.02..> 25-Oct-2024 20:07                4717
perl-tickit-widget-entry-plugin-completion-doc-..> 25-Oct-2024 20:07                3921
perl-tickit-widget-floatbox-0.11-r0.apk            25-Oct-2024 20:07                4787
perl-tickit-widget-floatbox-doc-0.11-r0.apk        25-Oct-2024 20:07                4228
perl-tickit-widget-menu-0.16-r0.apk                25-Oct-2024 20:07                7333
perl-tickit-widget-menu-doc-0.16-r0.apk            25-Oct-2024 20:07                7057
perl-tickit-widget-scrollbox-0.12-r0.apk           25-Oct-2024 20:07                8173
perl-tickit-widget-scrollbox-doc-0.12-r0.apk       25-Oct-2024 20:07                6679
perl-tie-toobject-0.03-r0.apk                      19-Mar-2025 11:44                2666
perl-tie-toobject-doc-0.03-r0.apk                  19-Mar-2025 11:44                3244
perl-time-moment-0.44-r0.apk                       25-Oct-2024 20:07               40319
perl-time-moment-doc-0.44-r0.apk                   25-Oct-2024 20:07               31593
perl-time-moment-role-strptime-0.001-r0.apk        25-Oct-2024 20:07                2815
perl-time-moment-role-strptime-doc-0.001-r0.apk    25-Oct-2024 20:07                3444
perl-time-moment-role-timezone-1.000-r0.apk        25-Oct-2024 20:07                3647
perl-time-moment-role-timezone-doc-1.000-r0.apk    25-Oct-2024 20:07                4080
perl-time-timegm-0.01-r9.apk                       25-Oct-2024 20:07                6640
perl-time-timegm-doc-0.01-r9.apk                   25-Oct-2024 20:07                3900
perl-tree-simple-visitorfactory-0.16-r0.apk        19-Mar-2025 11:44               20387
perl-tree-simple-visitorfactory-doc-0.16-r0.apk    19-Mar-2025 11:44               47285
perl-types-path-tiny-0.006-r0.apk                  25-Oct-2024 20:07                4024
perl-types-path-tiny-doc-0.006-r0.apk              25-Oct-2024 20:07                4171
perl-uri-db-0.23-r0.apk                            09-Jan-2025 05:26               11151
perl-uri-db-doc-0.23-r0.apk                        09-Jan-2025 05:26                8551
perl-uri-fetch-0.15-r0.apk                         25-Oct-2024 20:07                7201
perl-uri-fetch-doc-0.15-r0.apk                     25-Oct-2024 20:07                7677
perl-uri-find-20160806-r0.apk                      23-Mar-2025 07:58               13947
perl-uri-find-doc-20160806-r0.apk                  23-Mar-2025 07:58                9346
perl-uri-nested-0.10-r0.apk                        25-Oct-2024 20:07                4081
perl-uri-nested-doc-0.10-r0.apk                    25-Oct-2024 20:07                3972
perl-uri-redis-0.02-r0.apk                         25-Oct-2024 20:07                3238
perl-uri-redis-doc-0.02-r0.apk                     25-Oct-2024 20:07                4659
perl-uri-tcp-2.0.0-r0.apk                          25-Oct-2024 20:07                2754
perl-uri-tcp-doc-2.0.0-r0.apk                      25-Oct-2024 20:07                5051
perl-uri-ws-0.03-r0.apk                            19-Mar-2025 11:44                2396
perl-uri-ws-doc-0.03-r0.apk                        19-Mar-2025 11:44                4432
perl-url-encode-0.03-r4.apk                        25-Oct-2024 20:07                5257
perl-url-encode-doc-0.03-r4.apk                    25-Oct-2024 20:07                4792
perl-variable-disposition-0.005-r0.apk             25-Oct-2024 20:07                3326
perl-variable-disposition-doc-0.005-r0.apk         25-Oct-2024 20:07                5764
perl-x-tiny-0.22-r0.apk                            25-Oct-2024 20:07                7034
perl-x-tiny-doc-0.22-r0.apk                        25-Oct-2024 20:07                7776
perl-xml-atom-0.43-r0.apk                          25-Oct-2024 20:07               20055
perl-xml-atom-doc-0.43-r0.apk                      25-Oct-2024 20:07               16270
perl-xml-bare-0.53-r13.apk                         25-Oct-2024 20:07               27855
perl-xml-bare-doc-0.53-r13.apk                     25-Oct-2024 20:07               11657
perl-xml-feed-0.65-r0.apk                          25-Oct-2024 20:07               14181
perl-xml-feed-doc-0.65-r0.apk                      25-Oct-2024 20:07               12693
perl-xml-parser-style-easytree-0.09-r0.apk         25-Oct-2024 20:07                5091
perl-xml-parser-style-easytree-doc-0.09-r0.apk     25-Oct-2024 20:07                5546
perl-xml-rpc-2.1-r0.apk                            25-Oct-2024 20:07                5869
perl-xml-rpc-doc-2.1-r0.apk                        25-Oct-2024 20:07                4975
perl-xml-stream-1.24-r0.apk                        25-Oct-2024 20:07               45070
perl-xml-stream-doc-1.24-r0.apk                    25-Oct-2024 20:07               18063
persistent-cache-cpp-1.0.7-r4.apk                  17-Feb-2025 12:07               46542
persistent-cache-cpp-dev-1.0.7-r4.apk              17-Feb-2025 12:07               18205
persistent-cache-cpp-doc-1.0.7-r4.apk              17-Feb-2025 12:07                3208
pest-language-server-0.3.9-r0.apk                  25-Oct-2024 20:07             1150103
pfetch-1.9.0-r0.apk                                10-Apr-2025 12:59               23721
pfetch-doc-1.9.0-r0.apk                            10-Apr-2025 12:59                5859
pgcat-1.2.0-r1.apk                                 01-Jan-2025 17:37             2702659
pgcat-openrc-1.2.0-r1.apk                          01-Jan-2025 17:37                1923
phoronix-test-suite-10.8.4-r2.apk                  25-Oct-2024 20:07             4138347
phoronix-test-suite-bash-completion-10.8.4-r2.apk  25-Oct-2024 20:07                1791
phoronix-test-suite-doc-10.8.4-r2.apk              25-Oct-2024 20:07              294354
php81-8.1.32-r1.apk                                28-Mar-2025 16:15             1793939
php81-apache2-8.1.32-r1.apk                        28-Mar-2025 16:15             1759889
php81-bcmath-8.1.32-r1.apk                         28-Mar-2025 16:15               14677
php81-bz2-8.1.32-r1.apk                            28-Mar-2025 16:15                9258
php81-calendar-8.1.32-r1.apk                       28-Mar-2025 16:15               13600
php81-cgi-8.1.32-r1.apk                            28-Mar-2025 16:15             1769648
php81-common-8.1.32-r1.apk                         28-Mar-2025 16:15               25697
php81-ctype-8.1.32-r1.apk                          28-Mar-2025 16:15                4680
php81-curl-8.1.32-r1.apk                           28-Mar-2025 16:15               36530
php81-dba-8.1.32-r1.apk                            28-Mar-2025 16:15               20907
php81-dev-8.1.32-r1.apk                            28-Mar-2025 16:15              961514
php81-doc-8.1.32-r1.apk                            28-Mar-2025 16:15               69559
php81-dom-8.1.32-r1.apk                            28-Mar-2025 16:15               58405
php81-embed-8.1.32-r1.apk                          28-Mar-2025 16:15             1754474
php81-enchant-8.1.32-r1.apk                        28-Mar-2025 16:15                8180
php81-exif-8.1.32-r1.apk                           28-Mar-2025 16:15               31655
php81-ffi-8.1.32-r1.apk                            28-Mar-2025 16:15               65690
php81-fileinfo-8.1.32-r1.apk                       28-Mar-2025 16:15              384305
php81-fpm-8.1.32-r1.apk                            28-Mar-2025 16:15             1840425
php81-ftp-8.1.32-r1.apk                            28-Mar-2025 16:15               21959
php81-gd-8.1.32-r1.apk                             28-Mar-2025 16:15              117841
php81-gettext-8.1.32-r1.apk                        28-Mar-2025 16:15                5675
php81-gmp-8.1.32-r1.apk                            28-Mar-2025 16:15               19891
php81-iconv-8.1.32-r1.apk                          28-Mar-2025 16:15               16732
php81-imap-8.1.32-r1.apk                           28-Mar-2025 16:15               32586
php81-intl-8.1.32-r1.apk                           28-Mar-2025 16:15              135298
php81-ldap-8.1.32-r1.apk                           28-Mar-2025 16:15               30277
php81-litespeed-8.1.32-r1.apk                      28-Mar-2025 16:15             1781017
php81-mbstring-8.1.32-r1.apk                       28-Mar-2025 16:15              577075
php81-mysqli-8.1.32-r1.apk                         28-Mar-2025 16:15               40993
php81-mysqlnd-8.1.32-r1.apk                        28-Mar-2025 16:15               76803
php81-odbc-8.1.32-r1.apk                           28-Mar-2025 16:15               22594
php81-opcache-8.1.32-r1.apk                        28-Mar-2025 16:15               67520
php81-openssl-8.1.32-r1.apk                        28-Mar-2025 16:15               70778
php81-pcntl-8.1.32-r1.apk                          28-Mar-2025 16:15               12949
php81-pdo-8.1.32-r1.apk                            28-Mar-2025 16:15               39702
php81-pdo_dblib-8.1.32-r1.apk                      28-Mar-2025 16:15               11278
php81-pdo_mysql-8.1.32-r1.apk                      28-Mar-2025 16:15               12511
php81-pdo_odbc-8.1.32-r1.apk                       28-Mar-2025 16:15               11988
php81-pdo_pgsql-8.1.32-r1.apk                      28-Mar-2025 16:15               18016
php81-pdo_sqlite-8.1.32-r1.apk                     28-Mar-2025 16:15               11889
php81-pear-8.1.32-r1.apk                           28-Mar-2025 16:15              345912
php81-pecl-amqp-2.1.2-r0.apk                       25-Oct-2024 20:07               60711
php81-pecl-apcu-5.1.24-r0.apk                      25-Oct-2024 20:07               54922
php81-pecl-ast-1.1.2-r0.apk                        25-Oct-2024 20:07               21329
php81-pecl-brotli-0.17.0-r0.apk                    19-May-2025 13:31               14459
php81-pecl-couchbase-4.2.7-r0.apk                  19-Mar-2025 17:51             4420730
php81-pecl-csv-0.4.3-r0.apk                        25-Feb-2025 15:45               10108
php81-pecl-decimal-1.5.0-r1.apk                    25-Oct-2024 20:07               18339
php81-pecl-ds-1.6.0-r0.apk                         14-May-2025 21:14               52580
php81-pecl-event-3.1.4-r0.apk                      25-Oct-2024 20:07               49329
php81-pecl-grpc-1.72.0-r0.apk                      29-Apr-2025 19:46             4555584
php81-pecl-igbinary-3.2.16-r0.apk                  25-Oct-2024 20:07               29696
php81-pecl-imagick-3.8.0-r0.apk                    10-Apr-2025 20:03              107472
php81-pecl-imagick-dev-3.8.0-r0.apk                10-Apr-2025 20:03                2352
php81-pecl-immutable_cache-6.1.0-r0.apk            25-Oct-2024 20:07               38381
php81-pecl-jsmin-3.0.0-r0.apk                      25-Oct-2024 20:07               10091
php81-pecl-luasandbox-4.1.2-r0.apk                 25-Oct-2024 20:07               30102
php81-pecl-lzf-1.7.0-r0.apk                        25-Oct-2024 20:07                7008
php81-pecl-mailparse-3.1.8-r0.apk                  25-Oct-2024 20:07               22724
php81-pecl-maxminddb-1.12.1-r0.apk                 14-May-2025 21:14                8438
php81-pecl-mcrypt-1.0.7-r0.apk                     25-Oct-2024 20:07               15662
php81-pecl-memcache-8.2-r1.apk                     25-Oct-2024 20:07               43391
php81-pecl-memcached-3.3.0-r0.apk                  25-Oct-2024 20:07               47312
php81-pecl-mongodb-2.1.0-r0.apk                    23-May-2025 19:15              859337
php81-pecl-msgpack-3.0.0-r0.apk                    25-Oct-2024 20:07               27711
php81-pecl-oauth-2.0.9-r0.apk                      25-Oct-2024 20:07               36522
php81-pecl-opentelemetry-1.1.3-r0.apk              15-May-2025 20:56               12213
php81-pecl-pcov-1.0.12-r0.apk                      04-Dec-2024 17:17                9460
php81-pecl-protobuf-4.30.0-r0.apk                  05-Mar-2025 18:38              141257
php81-pecl-psr-1.2.0-r0.apk                        25-Oct-2024 20:07               18093
php81-pecl-rdkafka-6.0.5-r0.apk                    04-Nov-2024 12:51               36616
php81-pecl-redis-6.2.0-r0.apk                      27-Mar-2025 10:58              197781
php81-pecl-smbclient-1.2.0_pre-r0.apk              10-Dec-2024 19:01               20827
php81-pecl-ssh2-1.4.1-r0.apk                       25-Oct-2024 20:07               28355
php81-pecl-timezonedb-2025.2-r0.apk                27-Mar-2025 11:06              195240
php81-pecl-uploadprogress-2.0.2-r1.apk             25-Oct-2024 20:07                6927
php81-pecl-uploadprogress-doc-2.0.2-r1.apk         25-Oct-2024 20:07               10161
php81-pecl-uuid-1.3.0-r0.apk                       14-May-2025 21:14                6616
php81-pecl-xdebug-3.4.3-r0.apk                     15-May-2025 20:36              147125
php81-pecl-xhprof-2.3.10-r0.apk                    25-Oct-2024 20:07               12444
php81-pecl-xhprof-assets-2.3.10-r0.apk             25-Oct-2024 20:07              819825
php81-pecl-xlswriter-1.5.8-r0.apk                  11-Nov-2024 01:44              225173
php81-pecl-xmlrpc-1.0.0_rc3-r2.apk                 25-Oct-2024 20:07               33828
php81-pecl-yaml-2.2.4-r0.apk                       25-Oct-2024 20:07               18497
php81-pecl-zephir_parser-1.7.0-r0.apk              24-Nov-2024 17:25               66232
php81-pecl-zstd-0.14.0-r0.apk                      06-Nov-2024 14:44               13592
php81-pgsql-8.1.32-r1.apk                          28-Mar-2025 16:15               43325
php81-phar-8.1.32-r1.apk                           28-Mar-2025 16:15              120629
php81-phpdbg-8.1.32-r1.apk                         28-Mar-2025 16:15             1833552
php81-posix-8.1.32-r1.apk                          28-Mar-2025 16:15               10527
php81-pspell-8.1.32-r1.apk                         28-Mar-2025 16:15                7917
php81-session-8.1.32-r1.apk                        28-Mar-2025 16:15               35487
php81-shmop-8.1.32-r1.apk                          28-Mar-2025 16:15                5879
php81-simplexml-8.1.32-r1.apk                      28-Mar-2025 16:15               21114
php81-snmp-8.1.32-r1.apk                           28-Mar-2025 16:15               19538
php81-soap-8.1.32-r1.apk                           28-Mar-2025 16:15              130946
php81-sockets-8.1.32-r1.apk                        28-Mar-2025 16:15               34858
php81-sodium-8.1.32-r1.apk                         28-Mar-2025 16:15               26158
php81-sqlite3-8.1.32-r1.apk                        28-Mar-2025 16:15               19554
php81-sysvmsg-8.1.32-r1.apk                        28-Mar-2025 16:15                7268
php81-sysvsem-8.1.32-r1.apk                        28-Mar-2025 16:15                5555
php81-sysvshm-8.1.32-r1.apk                        28-Mar-2025 16:15                6430
php81-tideways_xhprof-5.0.4-r1.apk                 25-Oct-2024 20:07               13231
php81-tidy-8.1.32-r1.apk                           28-Mar-2025 16:15               18167
php81-tokenizer-8.1.32-r1.apk                      28-Mar-2025 16:15               11819
php81-xml-8.1.32-r1.apk                            28-Mar-2025 16:15               18030
php81-xmlreader-8.1.32-r1.apk                      28-Mar-2025 16:15               12556
php81-xmlwriter-8.1.32-r1.apk                      28-Mar-2025 16:15               11470
php81-xsl-8.1.32-r1.apk                            28-Mar-2025 16:15               12560
php81-zip-8.1.32-r1.apk                            28-Mar-2025 16:15               24991
php82-pdlib-1.1.0-r1.apk                           25-Oct-2024 20:07              501426
php82-pecl-apfd-1.0.3-r0.apk                       25-Oct-2024 20:07                4490
php82-pecl-excimer-1.2.5-r0.apk                    20-May-2025 15:41               20315
php82-pecl-immutable_cache-6.1.0-r0.apk            25-Oct-2024 20:07               38617
php82-pecl-jsmin-3.0.0-r0.apk                      25-Oct-2024 20:07               10097
php82-pecl-oauth-2.0.9-r0.apk                      25-Oct-2024 20:07               36728
php82-pecl-runkit7-4.0.0_alpha6-r1.apk             25-Oct-2024 20:07               29323
php82-pecl-teds-1.3.0-r0.apk                       25-Oct-2024 20:07              113869
php82-pecl-vld-0.18.0-r0.apk                       25-Oct-2024 20:07               15560
php82-pecl-zephir_parser-1.7.0-r0.apk              24-Nov-2024 17:25               66276
php82-snappy-0.2.3-r0.apk                          09-Apr-2025 18:19                5173
php83-pecl-apfd-1.0.3-r0.apk                       25-Oct-2024 20:07                4482
php83-pecl-eio-3.1.3-r0.apk                        25-Oct-2024 20:07               28308
php83-pecl-ev-1.2.0-r0.apk                         25-Oct-2024 20:07               38762
php83-pecl-excimer-1.2.5-r0.apk                    20-May-2025 15:41               20336
php83-pecl-jsmin-3.0.0-r0.apk                      25-Oct-2024 20:07               10087
php83-pecl-oauth-2.0.9-r0.apk                      25-Oct-2024 20:07               36807
php83-pecl-phpy-1.0.11-r1.apk                      14-May-2025 21:14               39697
php83-pecl-uv-0.3.0-r0.apk                         25-Oct-2024 20:07               51895
php83-pecl-vld-0.18.0-r1.apk                       25-Oct-2024 20:07               15186
php83-pecl-zmq-1.1.4-r0.apk                        25-Oct-2024 20:07               31161
php84-pecl-csv-0.4.3-r0.apk                        25-Feb-2025 15:45               10154
php84-pecl-ev-1.2.0-r1.apk                         25-Oct-2024 20:07               38797
php84-pecl-oauth-2.0.9-r0.apk                      25-Oct-2024 20:07               36826
php84-pecl-phpy-1.0.11-r1.apk                      14-May-2025 21:14               39711
php84-pecl-solr-2.8.1-r0.apk                       23-Apr-2025 04:24               90810
php84-pecl-uv-0.3.0-r0.apk                         28-Oct-2024 12:47               51954
php84-snappy-0.2.3-r0.apk                          09-Apr-2025 18:19                5169
phpactor-2025.04.17.0-r0.apk                       30-May-2025 14:44             3718138
pick-4.0.0-r0.apk                                  25-Oct-2024 20:07               10116
pick-doc-4.0.0-r0.apk                              25-Oct-2024 20:07                3406
pict-rs-0.5.19-r0.apk                              19-May-2025 09:18             7214925
pict-rs-openrc-0.5.19-r0.apk                       19-May-2025 09:18                1934
pidif-0.1-r1.apk                                   25-Oct-2024 20:07              169405
piglit-0_git20241106-r1.apk                        14-May-2025 21:14            92375620
pigpio-79-r4.apk                                   25-Oct-2024 20:07              219517
pigpio-dev-79-r4.apk                               25-Oct-2024 20:07               92975
pigpio-doc-79-r4.apk                               25-Oct-2024 20:07              118117
pigpio-openrc-79-r4.apk                            25-Oct-2024 20:07                1662
pihole-6.1-r0.apk                                  14-May-2025 21:14             5816413
pihole-bash-completion-6.1-r0.apk                  14-May-2025 21:14                2239
pihole-doc-6.1-r0.apk                              14-May-2025 21:14                3781
pihole-openrc-6.1-r0.apk                           14-May-2025 21:14                1891
pimd-3.0_git20220201-r0.apk                        25-Oct-2024 20:07               85820
pimd-dense-2.1.0-r0.apk                            25-Oct-2024 20:07               52421
pimd-dense-doc-2.1.0-r0.apk                        25-Oct-2024 20:07               20154
pimd-dense-openrc-2.1.0-r0.apk                     25-Oct-2024 20:07                1896
pimd-doc-3.0_git20220201-r0.apk                    25-Oct-2024 20:07               35592
pimd-openrc-3.0_git20220201-r0.apk                 25-Oct-2024 20:07                1661
pinentry-bemenu-0.14.0-r0.apk                      21-Feb-2025 19:35                8307
pipectl-0.4.1-r1.apk                               25-Oct-2024 20:07                5700
pipectl-doc-0.4.1-r1.apk                           25-Oct-2024 20:07                3081
piper-phonemize-2023.11.14.4-r8.apk                18-May-2025 15:55             9440421
piper-phonemize-dev-2023.11.14.4-r8.apk            18-May-2025 15:55              403691
piper-phonemize-libs-2023.11.14.4-r8.apk           18-May-2025 15:55               72892
piper-tts-2023.11.14.2-r13.apk                     27-May-2025 21:40              178881
piper-tts-dev-2023.11.14.2-r13.apk                 27-May-2025 21:40              144401
piping-server-0.18.0-r0.apk                        25-Oct-2024 20:07             1387737
piping-server-openrc-0.18.0-r0.apk                 25-Oct-2024 20:07                1835
pithos-1.6.1-r0.apk                                25-Oct-2024 20:07              106964
pithos-doc-1.6.1-r0.apk                            25-Oct-2024 20:07                2142
pithos-pyc-1.6.1-r0.apk                            25-Oct-2024 20:07              157988
pitivi-2023.03-r2.apk                              22-Dec-2024 22:06             2812927
pitivi-lang-2023.03-r2.apk                         22-Dec-2024 22:06              694550
pitivi-pyc-2023.03-r2.apk                          22-Dec-2024 22:06              716962
pixiewps-1.4.2-r2.apk                              14-May-2025 21:14               51164
pixiewps-doc-1.4.2-r2.apk                          14-May-2025 21:14                3484
planarity-4.0.0.0-r0.apk                           16-Mar-2025 10:06               23021
planarity-dev-4.0.0.0-r0.apk                       16-Mar-2025 10:06               23549
planarity-doc-4.0.0.0-r0.apk                       16-Mar-2025 10:06               12864
planarity-libs-4.0.0.0-r0.apk                      16-Mar-2025 10:06               62746
planner-0.14.92-r1.apk                             08-Dec-2024 21:40              340454
planner-doc-0.14.92-r1.apk                         08-Dec-2024 21:40                2206
planner-lang-0.14.92-r1.apk                        08-Dec-2024 21:40              844372
please-0.5.5-r0.apk                                25-Oct-2024 20:07             1031602
please-doc-0.5.5-r0.apk                            25-Oct-2024 20:07               16217
plfit-1.0.1-r0.apk                                 04-Jan-2025 03:47               38425
plfit-dev-1.0.1-r0.apk                             04-Jan-2025 03:47                6633
plfit-libs-1.0.1-r0.apk                            04-Jan-2025 03:47               27913
plfit-static-1.0.1-r0.apk                          04-Jan-2025 03:47               31802
plib-1.8.5-r3.apk                                  25-Oct-2024 20:07              891319
plots-0.7.0-r1.apk                                 06-Nov-2024 09:42              528098
plplot-5.15.0-r2.apk                               25-Oct-2024 20:07               32105
plplot-dev-5.15.0-r2.apk                           25-Oct-2024 20:07               60413
plplot-doc-5.15.0-r2.apk                           25-Oct-2024 20:07              318031
plplot-libs-5.15.0-r2.apk                          25-Oct-2024 20:07              194144
pmccabe-2.8-r1.apk                                 25-Oct-2024 20:07               25904
pmccabe-doc-2.8-r1.apk                             25-Oct-2024 20:07                7319
pnmixer-0.7.2-r3.apk                               25-Oct-2024 20:07              142585
pnmixer-doc-0.7.2-r3.apk                           25-Oct-2024 20:07                2332
pnmixer-lang-0.7.2-r3.apk                          25-Oct-2024 20:07               25375
pokoy-0.2.5-r0.apk                                 25-Oct-2024 20:07                8928
pokoy-doc-0.2.5-r0.apk                             25-Oct-2024 20:07                3065
policycoreutils-3.6-r1.apk                         25-Oct-2024 20:07               54091
policycoreutils-bash-completion-3.6-r1.apk         25-Oct-2024 20:07                2473
policycoreutils-doc-3.6-r1.apk                     25-Oct-2024 20:07               22854
policycoreutils-lang-3.6-r1.apk                    25-Oct-2024 20:07              107922
polyglot-2.0.4-r1.apk                              25-Oct-2024 20:07               65865
polyglot-doc-2.0.4-r1.apk                          25-Oct-2024 20:07               48714
pomo-0.8.1-r23.apk                                 14-May-2025 21:14             1669202
pomo-doc-0.8.1-r23.apk                             14-May-2025 21:14                2803
pongoos-loader-0_git20210704-r1.apk                25-Oct-2024 20:07                2427
pop-cursor-theme-3.5.1-r0.apk                      25-Mar-2025 07:55            13437925
pop-icon-theme-3.5.1-r0.apk                        25-Mar-2025 07:55             1333957
popeye-0.22.1-r4.apk                               14-May-2025 21:14            28581103
porla-0.41.0-r2.apk                                24-Feb-2025 14:56             3410485
porla-doc-0.41.0-r2.apk                            24-Feb-2025 14:56                2247
porla-openrc-0.41.0-r2.apk                         24-Feb-2025 14:56                2753
portsmf-239-r1.apk                                 25-Oct-2024 20:07               51278
portsmf-dev-239-r1.apk                             25-Oct-2024 20:07               20767
postgresql-pg_later-0.0.14-r1.apk                  25-Oct-2024 20:07              650262
postgresql-pg_variables-1.2.5_git20230922-r0.apk   25-Oct-2024 20:07               22258
postgresql-pg_variables-bitcode-1.2.5_git202309..> 25-Oct-2024 20:07               54888
postgresql-pgmq-1.1.1-r1.apk                       25-Oct-2024 20:07              279031
postgresql16-wal2json-2.6-r0.apk                   25-Oct-2024 20:07               71175
pounce-3.1-r3.apk                                  25-Oct-2024 20:07               29240
pounce-doc-3.1-r3.apk                              25-Oct-2024 20:07                8737
pounce-openrc-3.1-r3.apk                           25-Oct-2024 20:07                2917
powder-toy-97.0.352-r1.apk                         25-Oct-2024 20:07              834032
powerstat-0.04.01-r0.apk                           25-Oct-2024 20:07               20756
powerstat-bash-completion-0.04.01-r0.apk           25-Oct-2024 20:07                2324
powerstat-doc-0.04.01-r0.apk                       25-Oct-2024 20:07                4339
pptpclient-1.10.0-r5.apk                           25-Oct-2024 20:07               34197
pptpclient-doc-1.10.0-r5.apk                       25-Oct-2024 20:07                7353
pqiv-2.12-r1.apk                                   25-Oct-2024 20:07               64893
pqiv-doc-2.12-r1.apk                               25-Oct-2024 20:07               12314
predict-2.3.1-r0.apk                               23-Nov-2024 18:59               92866
predict-doc-2.3.1-r0.apk                           23-Nov-2024 18:59               16472
primecount-7.17-r0.apk                             16-May-2025 14:32               29087
primecount-dev-7.17-r0.apk                         16-May-2025 14:32             2054961
primecount-doc-7.17-r0.apk                         16-May-2025 14:32                3975
primecount-libs-7.17-r0.apk                        16-May-2025 14:32              136723
primesieve-12.8-r0.apk                             29-Apr-2025 21:48               44148
primesieve-dev-12.8-r0.apk                         29-Apr-2025 21:48             1306056
primesieve-doc-12.8-r0.apk                         29-Apr-2025 21:48                4159
primesieve-libs-12.8-r0.apk                        29-Apr-2025 21:48              113962
prjtrellis-1.4-r2.apk                              25-Oct-2024 20:07             1236384
prjtrellis-db-0_git20230929-r0.apk                 25-Oct-2024 20:07                3355
prjtrellis-db-ecp5-0_git20230929-r0.apk            25-Oct-2024 20:07             2236762
prjtrellis-db-machxo-0_git20230929-r0.apk          25-Oct-2024 20:07               40120
prjtrellis-db-machxo2-0_git20230929-r0.apk         25-Oct-2024 20:07             1037660
prjtrellis-db-machxo3-0_git20230929-r0.apk         25-Oct-2024 20:07             1117796
prjtrellis-db-machxo3d-0_git20230929-r0.apk        25-Oct-2024 20:07              765670
projectm-3.1.12-r2.apk                             25-Oct-2024 20:07              433155
projectm-dev-3.1.12-r2.apk                         25-Oct-2024 20:07              678188
projectm-presets-3.1.12-r2.apk                     25-Oct-2024 20:07             4559212
projectm-pulseaudio-3.1.12-r2.apk                  25-Oct-2024 20:07              404312
projectm-pulseaudio-doc-3.1.12-r2.apk              25-Oct-2024 20:07                2051
projectm-sdl-3.1.12-r2.apk                         25-Oct-2024 20:07              315438
projectsandcastle-loader-0_git20200307-r1.apk      25-Oct-2024 20:07                5238
prometheus-apcupsd-exporter-0.3.0-r1.apk           27-May-2025 00:16             3826791
prometheus-apcupsd-exporter-openrc-0.3.0-r1.apk    27-May-2025 00:16                1993
prometheus-ipmi-exporter-1.8.0-r5.apk              14-May-2025 21:14             4433141
prometheus-ipmi-exporter-doc-1.8.0-r5.apk          14-May-2025 21:14                6770
prometheus-ipmi-exporter-openrc-1.8.0-r5.apk       14-May-2025 21:14                1997
prometheus-opnsense-exporter-0.0.8-r0.apk          14-May-2025 21:14             4925733
prometheus-opnsense-exporter-openrc-0.0.8-r0.apk   14-May-2025 21:14                2146
prometheus-podman-exporter-1.15.0-r3.apk           14-May-2025 21:14            15498529
prometheus-rethinkdb-exporter-1.0.1-r28.apk        14-May-2025 21:14             4316388
prometheus-rethinkdb-exporter-openrc-1.0.1-r28.apk 14-May-2025 21:14                1712
prometheus-smartctl-exporter-0.14.0-r0.apk         14-May-2025 21:14             4797001
prometheus-smartctl-exporter-openrc-0.14.0-r0.apk  14-May-2025 21:14                1942
prometheus-unbound-exporter-0.4.6-r5.apk           14-May-2025 21:14             3805431
prometheus-unbound-exporter-openrc-0.4.6-r5.apk    14-May-2025 21:14                2008
proot-5.4.0-r1.apk                                 25-Oct-2024 20:07               68702
proot-doc-5.4.0-r1.apk                             25-Oct-2024 20:07               10545
proot-static-5.4.0-r1.apk                          25-Oct-2024 20:07              108040
prosody-mod-auth_ldap-0.11_hg20201208-r0.apk       25-Oct-2024 20:07                2882
prosody-mod-auth_pam-0.11_hg20201208-r0.apk        25-Oct-2024 20:07                1852
prosody-mod-auth_sql-0.11_hg20201208-r0.apk        25-Oct-2024 20:07                2702
prosody-mod-block_registrations-0.11_hg20201208..> 25-Oct-2024 20:07                1807
prosody-mod-bookmarks-0.11_hg20201208-r0.apk       25-Oct-2024 20:07                3183
prosody-mod-broadcast-0.11_hg20201208-r0.apk       25-Oct-2024 20:07                1894
prosody-mod-c2s_conn_throttle-0.11_hg20201208-r..> 25-Oct-2024 20:07                2051
prosody-mod-cloud_notify-0.11_hg20201208-r0.apk    25-Oct-2024 20:07                7388
prosody-mod-conversejs-0.11_hg20201208-r0.apk      25-Oct-2024 20:07                3345
prosody-mod-host_guard-0.11_hg20201208-r0.apk      25-Oct-2024 20:07                2898
prosody-mod-http_upload_external-0.11_hg2020120..> 25-Oct-2024 20:07                2961
prosody-mod-ipcheck-0.11_hg20201208-r0.apk         25-Oct-2024 20:07                2001
prosody-mod-log_auth-0.11_hg20201208-r0.apk        25-Oct-2024 20:07                1808
prosody-mod-log_slow_events-0.11_hg20201208-r0.apk 25-Oct-2024 20:07                2237
prosody-mod-mam-0.11_hg20201208-r0.apk             25-Oct-2024 20:07                6024
prosody-mod-mam_muc-0.11_hg20201208-r0.apk         25-Oct-2024 20:07                5686
prosody-mod-muc_cloud_notify-0.11_hg20201208-r0..> 25-Oct-2024 20:07                7026
prosody-mod-pastebin-0.11_hg20201208-r0.apk        25-Oct-2024 20:07                3808
prosody-mod-register_json-0.11_hg20201208-r0.apk   25-Oct-2024 20:07              105989
prosody-mod-register_redirect-0.11_hg20201208-r..> 25-Oct-2024 20:07                2772
prosody-mod-reload_modules-0.11_hg20201208-r0.apk  25-Oct-2024 20:07                2047
prosody-mod-require_otr-0.11_hg20201208-r0.apk     25-Oct-2024 20:07                1752
prosody-mod-s2s_idle_timeout-0.11_hg20201208-r0..> 25-Oct-2024 20:07                2062
prosody-mod-saslname-0.11_hg20201208-r0.apk        25-Oct-2024 20:07                1646
prosody-mod-server_status-0.11_hg20201208-r0.apk   25-Oct-2024 20:07                2841
prosody-mod-smacks-0.11_hg20201208-r0.apk          25-Oct-2024 20:07                8786
prosody-mod-stanza_counter-0.11_hg20201208-r0.apk  25-Oct-2024 20:07                2085
prosody-mod-support_contact-0.11_hg20201208-r0.apk 25-Oct-2024 20:07                2073
prosody-mod-vcard_muc-0.11_hg20201208-r0.apk       25-Oct-2024 20:07                2725
prosody-mod-webpresence-0.11_hg20201208-r0.apk     25-Oct-2024 20:07                2698
prosody-modules-0.11_hg20201208-r0.apk             25-Oct-2024 20:07                1488
protoc-gen-go-1.36.6-r0.apk                        16-May-2025 07:14             2304745
protoconf-0.1.7-r13.apk                            14-May-2025 21:14             7738580
psftools-1.1.2-r0.apk                              25-Oct-2024 20:07              202299
psftools-dev-1.1.2-r0.apk                          25-Oct-2024 20:07               43185
psftools-doc-1.1.2-r0.apk                          25-Oct-2024 20:07               61075
psi-notify-1.3.1-r0.apk                            25-Oct-2024 20:07               11827
psi-plus-1.5.1965-r1.apk                           14-May-2025 21:14             8859584
psi-plus-plugins-1.5.1965-r1.apk                   14-May-2025 21:14             1689182
pspp-2.0.1-r0.apk                                  25-Oct-2024 20:07             9707677
pspp-dbg-2.0.1-r0.apk                              25-Oct-2024 20:07             4517047
pspp-doc-2.0.1-r0.apk                              25-Oct-2024 20:07                9228
psst-0_git20240526-r1.apk                          25-Oct-2024 20:07             7899701
ptpd-2.3.1-r1.apk                                  25-Oct-2024 20:07              188793
ptpd-doc-2.3.1-r1.apk                              25-Oct-2024 20:07               20768
ptpd-openrc-2.3.1-r1.apk                           25-Oct-2024 20:07                2447
ptylie-0.2-r2.apk                                  14-May-2025 21:14               11046
ptylie-doc-0.2-r2.apk                              14-May-2025 21:14                3233
pully-1.0.0-r0.apk                                 25-Oct-2024 20:07                2585
pully-openrc-1.0.0-r0.apk                          25-Oct-2024 20:07                1760
pulsar-client-cpp-3.1.2-r7.apk                     14-May-2025 21:14             1178571
pulsar-client-cpp-dev-3.1.2-r7.apk                 14-May-2025 21:14               54053
pulseview-0.4.2-r8.apk                             25-Oct-2024 20:07              890755
pulseview-doc-0.4.2-r8.apk                         25-Oct-2024 20:07                3748
purple-facebook-0.9.6-r0.apk                       25-Oct-2024 20:07               70994
purple-hangouts-0_git20200422-r0.apk               25-Oct-2024 20:07              198999
pw-volume-0.5.0-r1.apk                             25-Oct-2024 20:07              332293
pwauth-2.3.11-r2.apk                               25-Oct-2024 20:07                4263
pwauth-doc-2.3.11-r2.apk                           25-Oct-2024 20:07                6968
pxalarm-3.0.0-r0.apk                               25-Oct-2024 20:07                2951
pxmenu-1.0.0-r1.apk                                25-Oct-2024 20:07                2948
py3-actdiag-3.0.0-r5.apk                           25-Oct-2024 20:07               17686
py3-actdiag-pyc-3.0.0-r5.apk                       25-Oct-2024 20:07               22003
py3-aesedb-0.1.6-r3.apk                            29-May-2025 11:57               36806
py3-aesedb-pyc-0.1.6-r3.apk                        29-May-2025 11:57               76471
py3-agithub-2.2.2-r7.apk                           19-Mar-2025 11:44               19297
py3-agithub-pyc-2.2.2-r7.apk                       19-Mar-2025 11:44               21794
py3-aiodocker-0.21.0-r1.apk                        25-Oct-2024 20:07               30020
py3-aiodocker-pyc-0.21.0-r1.apk                    25-Oct-2024 20:07               61834
py3-aiohttp-debugtoolbar-0.6.1-r2.apk              25-Oct-2024 20:07              456617
py3-aiohttp-debugtoolbar-pyc-0.6.1-r2.apk          25-Oct-2024 20:07               52414
py3-aiohttp-jinja2-1.6-r2.apk                      25-Oct-2024 20:07               12681
py3-aiohttp-jinja2-pyc-1.6-r2.apk                  25-Oct-2024 20:07                9451
py3-aiohttp-remotes-1.3.0-r0.apk                   04-Nov-2024 12:28               10265
py3-aiohttp-remotes-pyc-1.3.0-r0.apk               04-Nov-2024 12:28               19311
py3-aiohttp-session-2.12.1-r0.apk                  25-Oct-2024 20:07               10682
py3-aiohttp-session-pyc-2.12.1-r0.apk              25-Oct-2024 20:07               15149
py3-aioopenssl-0.6.0-r4.apk                        25-Oct-2024 20:07               21319
py3-aioopenssl-pyc-0.6.0-r4.apk                    25-Oct-2024 20:07               19593
py3-aiosasl-0.5.0-r4.apk                           25-Oct-2024 20:07               30295
py3-aiosasl-doc-0.5.0-r4.apk                       25-Oct-2024 20:07               16850
py3-aiosasl-pyc-0.5.0-r4.apk                       25-Oct-2024 20:07               24501
py3-aiosmb-0.4.11-r1.apk                           29-May-2025 11:57              611328
py3-aiosmb-pyc-0.4.11-r1.apk                       29-May-2025 11:57             1126164
py3-aiowinreg-0.0.12-r1.apk                        29-May-2025 11:57               22634
py3-aiowinreg-pyc-0.0.12-r1.apk                    29-May-2025 11:57               45661
py3-aioxmpp-0.13.3-r3.apk                          25-Oct-2024 20:07              396984
py3-aioxmpp-doc-0.13.3-r3.apk                      25-Oct-2024 20:07               18778
py3-aioxmpp-pyc-0.13.3-r3.apk                      25-Oct-2024 20:07              689277
py3-allfiles-1.0-r8.apk                            25-Oct-2024 20:07                3651
py3-allfiles-pyc-1.0-r8.apk                        25-Oct-2024 20:07                3344
py3-altgraph-0.17.4-r1.apk                         25-Oct-2024 20:07               21225
py3-altgraph-pyc-0.17.4-r1.apk                     25-Oct-2024 20:07               29830
py3-ansi2html-1.9.2-r0.apk                         25-Oct-2024 20:07               18064
py3-ansi2html-pyc-1.9.2-r0.apk                     25-Oct-2024 20:07               22409
py3-anyascii-0.3.2-r1.apk                          25-Oct-2024 20:07              281319
py3-anyascii-pyc-0.3.2-r1.apk                      25-Oct-2024 20:07                3407
py3-apicula-0.11.1-r1.apk                          25-Oct-2024 20:07             8891839
py3-apicula-pyc-0.11.1-r1.apk                      25-Oct-2024 20:07              183787
py3-apio-0.9.5-r0.apk                              25-Oct-2024 20:07               74095
py3-apio-pyc-0.9.5-r0.apk                          25-Oct-2024 20:07               79054
py3-apk3-3.0.0_rc4_git20250421-r0.apk              14-May-2025 21:14                4101
py3-apsw-3.49.1.0-r0.apk                           25-Feb-2025 10:17              866243
py3-apsw-pyc-3.49.1.0-r0.apk                       25-Feb-2025 10:17              538955
py3-arcus-5.3.0-r4.apk                             14-May-2025 21:14               75618
py3-asif-0.3.2-r3.apk                              25-Oct-2024 20:07               13662
py3-asif-pyc-0.3.2-r3.apk                          25-Oct-2024 20:07               26501
py3-ask-0.0.8-r8.apk                               25-Oct-2024 20:07                5121
py3-ask-pyc-0.0.8-r8.apk                           25-Oct-2024 20:07                4583
py3-astral-3.2-r3.apk                              25-Oct-2024 20:07               37914
py3-astral-pyc-3.2-r3.apk                          25-Oct-2024 20:07               60334
py3-asyauth-0.0.21-r1.apk                          29-May-2025 11:57               78883
py3-asyauth-pyc-0.0.21-r1.apk                      29-May-2025 11:57              173366
py3-async-lru-2.0.5-r0.apk                         19-Mar-2025 11:44                7138
py3-async-lru-pyc-2.0.5-r0.apk                     19-Mar-2025 11:44                8695
py3-asysocks-0.2.13-r1.apk                         29-May-2025 11:57               85849
py3-asysocks-pyc-0.2.13-r1.apk                     29-May-2025 11:57              234767
py3-avro-1.11.3-r1.apk                             25-Oct-2024 20:07              100014
py3-avro-pyc-1.11.3-r1.apk                         25-Oct-2024 20:07              195950
py3-b2sdk-2.8.1-r0.apk                             03-May-2025 08:44              220070
py3-b2sdk-pyc-2.8.1-r0.apk                         03-May-2025 08:44              412299
py3-banal-1.0.6-r4.apk                             25-Oct-2024 20:07                7041
py3-banal-pyc-1.0.6-r4.apk                         25-Oct-2024 20:07                7383
py3-bandwidth-sdk-3.1.0-r8.apk                     25-Oct-2024 20:07               47104
py3-bandwidth-sdk-pyc-3.1.0-r8.apk                 25-Oct-2024 20:07               70856
py3-barcodenumber-0.2.1-r10.apk                    25-Oct-2024 20:07               16718
py3-barcodenumber-pyc-0.2.1-r10.apk                25-Oct-2024 20:07                4363
py3-base58-2.1.1-r2.apk                            25-Oct-2024 20:07               11361
py3-beartype-0.21.0-r0.apk                         25-May-2025 13:46              948653
py3-beartype-pyc-0.21.0-r0.apk                     25-May-2025 13:46              688797
py3-bencode-4.0.0-r1.apk                           25-Oct-2024 20:07               17496
py3-bencode-pyc-4.0.0-r1.apk                       25-Oct-2024 20:07               10715
py3-bibtexparser-1.4.3-r0.apk                      25-Jan-2025 07:04               41204
py3-bibtexparser-pyc-1.4.3-r0.apk                  25-Jan-2025 07:04               49954
py3-bidict-0.23.1-r1.apk                           25-Oct-2024 20:07               28382
py3-bidict-pyc-0.23.1-r1.apk                       25-Oct-2024 20:07               29452
py3-bite-parser-0.2.5-r0.apk                       28-Oct-2024 21:51               13967
py3-bite-parser-pyc-0.2.5-r0.apk                   28-Oct-2024 21:51               24056
py3-bitstruct-8.19.0-r1.apk                        25-Oct-2024 20:07               34584
py3-bitstruct-pyc-8.19.0-r1.apk                    25-Oct-2024 20:07               13089
py3-bleak-0.22.3-r0.apk                            25-Oct-2024 20:07              378687
py3-blockchain-1.4.4-r7.apk                        25-Oct-2024 20:07               11233
py3-blockchain-pyc-1.4.4-r7.apk                    25-Oct-2024 20:07               18289
py3-blockdiag-3.0.0-r6.apk                         10-Jan-2025 12:11               69655
py3-blockdiag-pyc-3.0.0-r6.apk                     10-Jan-2025 12:11              152407
py3-blockdiag-tests-3.0.0-r6.apk                   10-Jan-2025 12:11             2627090
py3-bookkeeper-4.17.1-r0.apk                       25-Oct-2024 20:07               43928
py3-bookkeeper-pyc-4.17.1-r0.apk                   25-Oct-2024 20:07               68221
py3-bottle-api-0.0.4-r7.apk                        25-Oct-2024 20:07                4989
py3-bottle-api-pyc-0.0.4-r7.apk                    25-Oct-2024 20:07                5338
py3-bottle-pgsql-0.2-r5.apk                        25-Oct-2024 20:07                4369
py3-bottle-redis-0.2.3-r6.apk                      25-Oct-2024 20:07                3382
py3-bottle-redis-pyc-0.2.3-r6.apk                  25-Oct-2024 20:07                3201
py3-bottle-renderer-0.1.1-r9.apk                   25-Oct-2024 20:07                4078
py3-bottle-renderer-pyc-0.1.1-r9.apk               25-Oct-2024 20:07                3828
py3-bottle-request-0.2.0-r9.apk                    25-Oct-2024 20:07                3289
py3-bottle-request-pyc-0.2.0-r9.apk                25-Oct-2024 20:07                2661
py3-bottle-rest-0.6.0-r1.apk                       25-Oct-2024 20:07                6257
py3-bottle-rest-pyc-0.6.0-r1.apk                   25-Oct-2024 20:07                5299
py3-bottle-session-1.0-r6.apk                      25-Oct-2024 20:07               10440
py3-bottle-session-pyc-1.0-r6.apk                  25-Oct-2024 20:07                7984
py3-bottle-sqlalchemy-0.4.3-r8.apk                 25-Oct-2024 20:07                4964
py3-bottle-sqlalchemy-pyc-0.4.3-r8.apk             25-Oct-2024 20:07                5768
py3-bottle-sqlite-0.2.0-r7.apk                     25-Oct-2024 20:07                4811
py3-bottle-sqlite-pyc-0.2.0-r7.apk                 25-Oct-2024 20:07                5364
py3-bottle-websocket-0.2.9-r8.apk                  25-Oct-2024 20:07                4749
py3-bottle-websocket-pyc-0.2.9-r8.apk              25-Oct-2024 20:07                3211
py3-bottle-werkzeug-0.1.1-r9.apk                   25-Oct-2024 20:07                4191
py3-bottle-werkzeug-pyc-0.1.1-r9.apk               25-Oct-2024 20:07                4356
py3-bson-0.5.10-r6.apk                             25-Oct-2024 20:07               11973
py3-bson-pyc-0.5.10-r6.apk                         25-Oct-2024 20:07               18949
py3-businesstime-0.3.0-r9.apk                      25-Oct-2024 20:07               10888
py3-businesstime-pyc-0.3.0-r9.apk                  25-Oct-2024 20:07               16732
py3-c3d-0.5.2-r1.apk                               25-Oct-2024 20:07               32841
py3-c3d-pyc-0.5.2-r1.apk                           25-Oct-2024 20:07               54990
py3-caldav-1.6.0-r0.apk                            01-Jun-2025 16:51               83107
py3-caldav-pyc-1.6.0-r0.apk                        01-Jun-2025 16:51              110371
py3-cassandra-driver-3.29.2-r0.apk                 25-Oct-2024 20:07              292701
py3-cassandra-driver-pyc-3.29.2-r0.apk             25-Oct-2024 20:07              573391
py3-catkin-pkg-0.5.2-r4.apk                        25-Oct-2024 20:07               58523
py3-catkin-pkg-pyc-0.5.2-r4.apk                    25-Oct-2024 20:07              105096
py3-cchardet-2.1.7-r5.apk                          25-Oct-2024 20:07              124198
py3-cchardet-pyc-2.1.7-r5.apk                      25-Oct-2024 20:07                3127
py3-cdio-2.1.1-r6.apk                              25-Jan-2025 07:04              100895
py3-cdio-pyc-2.1.1-r6.apk                          25-Jan-2025 07:04               44183
py3-certauth-1.3.0-r1.apk                          25-Oct-2024 20:07                8915
py3-certauth-pyc-1.3.0-r1.apk                      25-Oct-2024 20:07                9308
py3-chameleon-4.5.4-r0.apk                         25-Oct-2024 20:07               99829
py3-chameleon-pyc-4.5.4-r0.apk                     25-Oct-2024 20:07              134193
py3-ciso8601-2.3.1-r1.apk                          25-Oct-2024 20:07               16533
py3-cjkwrap-2.2-r6.apk                             14-May-2025 21:14                4623
py3-cjkwrap-pyc-2.2-r6.apk                         14-May-2025 21:14                5376
py3-class-doc-1.25-r1.apk                          25-Oct-2024 20:07                6203
py3-class-doc-pyc-1.25-r1.apk                      25-Oct-2024 20:07                8919
py3-click-completion-0.5.2-r1.apk                  25-Oct-2024 20:07               11051
py3-click-completion-pyc-0.5.2-r1.apk              25-Oct-2024 20:07               14586
py3-click-default-group-1.2.4-r1.apk               25-Oct-2024 20:07                5272
py3-click-default-group-pyc-1.2.4-r1.apk           25-Oct-2024 20:07                4634
py3-click-threading-0.5.0-r5.apk                   25-Oct-2024 20:07                6499
py3-click-threading-pyc-0.5.0-r5.apk               25-Oct-2024 20:07                8044
py3-clickclick-20.10.2-r4.apk                      25-Oct-2024 20:07                8140
py3-clickclick-pyc-20.10.2-r4.apk                  25-Oct-2024 20:07               10011
py3-cmd2-2.4.3-r2.apk                              25-Oct-2024 20:07              142763
py3-cmd2-pyc-2.4.3-r2.apk                          25-Oct-2024 20:07              227955
py3-cobs-1.2.0-r4.apk                              25-Oct-2024 20:07               16668
py3-cobs-pyc-1.2.0-r4.apk                          25-Oct-2024 20:07               12753
py3-colander-2.0-r2.apk                            25-Oct-2024 20:07               63968
py3-colander-pyc-2.0-r2.apk                        25-Oct-2024 20:07               43500
py3-colorthief-0.2.1-r1.apk                        25-Oct-2024 20:07                7490
py3-colorthief-pyc-0.2.1-r1.apk                    25-Oct-2024 20:07               10291
py3-columnize-0.3.11-r4.apk                        25-Oct-2024 20:07                8729
py3-columnize-pyc-0.3.11-r4.apk                    25-Oct-2024 20:07                7659
py3-compdb-0.2.0-r8.apk                            25-Oct-2024 20:07               23865
py3-compdb-doc-0.2.0-r8.apk                        25-Oct-2024 20:07                3116
py3-compdb-pyc-0.2.0-r8.apk                        25-Oct-2024 20:07               40577
py3-cookiecutter-2.6.0-r1.apk                      25-Oct-2024 20:07               36219
py3-cookiecutter-doc-2.6.0-r1.apk                  25-Oct-2024 20:07                3814
py3-cookiecutter-pyc-2.6.0-r1.apk                  25-Oct-2024 20:07               48636
py3-coreapi-2.3.3-r9.apk                           25-Oct-2024 20:07               22762
py3-coreapi-pyc-2.3.3-r9.apk                       25-Oct-2024 20:07               44307
py3-crc16-0.1.1-r10.apk                            25-Oct-2024 20:07               11883
py3-crc16-pyc-0.1.1-r10.apk                        25-Oct-2024 20:07                4821
py3-createrepo_c-1.1.4-r0.apk                      25-Oct-2024 20:07               41413
py3-createrepo_c-pyc-1.1.4-r0.apk                  25-Oct-2024 20:07               14863
py3-cryptg-0.5.0-r0.apk                            14-May-2025 21:14              198706
py3-cryptg-pyc-0.5.0-r0.apk                        14-May-2025 21:14                1953
py3-cssutils-2.11.1-r1.apk                         25-Oct-2024 20:07              158925
py3-cssutils-pyc-2.11.1-r1.apk                     25-Oct-2024 20:07              285334
py3-cstruct-5.3-r1.apk                             25-Oct-2024 20:07               22574
py3-cstruct-pyc-5.3-r1.apk                         25-Oct-2024 20:07               37006
py3-cucumber-tag-expressions-6.1.1-r0.apk          01-Dec-2024 20:13                8874
py3-cucumber-tag-expressions-pyc-6.1.1-r0.apk      01-Dec-2024 20:13               10673
py3-cvxpy-1.2.1-r5.apk                             25-Oct-2024 20:07              660285
py3-cvxpy-pyc-1.2.1-r5.apk                         25-Oct-2024 20:07              958546
py3-cython-test-exception-raiser-1.0.2-r0.apk      25-Oct-2024 20:07               17240
py3-cython-test-exception-raiser-pyc-1.0.2-r0.apk  25-Oct-2024 20:07                1870
py3-dash-bootstrap-components-1.6.0-r0.apk         13-Apr-2025 10:18               16884
py3-dataclasses-json-0.6.7-r0.apk                  25-Oct-2024 20:07               28066
py3-dataclasses-json-pyc-0.6.7-r0.apk              25-Oct-2024 20:07               36511
py3-dataclasses-serialization-1.3.1-r3.apk         25-Oct-2024 20:07               11220
py3-dataclasses-serialization-pyc-1.3.1-r3.apk     25-Oct-2024 20:07               14614
py3-dateparser-1.2.0-r0.apk                        23-Nov-2024 04:07              201342
py3-dateparser-pyc-1.2.0-r0.apk                    23-Nov-2024 04:07              341749
py3-daterangestr-0.0.3-r8.apk                      25-Oct-2024 20:07                4454
py3-daterangestr-pyc-0.0.3-r8.apk                  25-Oct-2024 20:07                4322
py3-dbus-fast-2.44.1-r0.apk                        02-May-2025 05:27              839172
py3-dbus-fast-doc-2.44.1-r0.apk                    02-May-2025 05:27                6401
py3-dbus-fast-pyc-2.44.1-r0.apk                    02-May-2025 05:27              131945
py3-deluge-client-1.10.2-r0.apk                    25-Oct-2024 20:07               13201
py3-deluge-client-doc-1.10.2-r0.apk                25-Oct-2024 20:07                2306
py3-deluge-client-pyc-1.10.2-r0.apk                25-Oct-2024 20:07               20122
py3-dexml-0.5.1-r9.apk                             25-Oct-2024 20:07               22743
py3-dexml-pyc-0.5.1-r9.apk                         25-Oct-2024 20:07               38389
py3-discid-1.2.0-r6.apk                            25-Oct-2024 20:07               24386
py3-discid-pyc-1.2.0-r6.apk                        25-Oct-2024 20:07               13386
py3-distorm3-3.5.2-r6.apk                          25-Oct-2024 20:07               48542
py3-distorm3-pyc-3.5.2-r6.apk                      25-Oct-2024 20:07               49822
py3-django-compress-staticfiles-1.0.1_beta0-r6.apk 25-Oct-2024 20:07               15003
py3-django-compress-staticfiles-pyc-1.0.1_beta0..> 25-Oct-2024 20:07               15265
py3-django-suit-0.2.28-r8.apk                      25-Oct-2024 20:07              374544
py3-django-suit-pyc-0.2.28-r8.apk                  25-Oct-2024 20:07               33280
py3-django-taggit-serializer-0.1.7-r8.apk          25-Oct-2024 20:07                4120
py3-django-taggit-serializer-pyc-0.1.7-r8.apk      25-Oct-2024 20:07                5078
py3-dnslib-0.9.25-r0.apk                           25-Oct-2024 20:07               52996
py3-dnslib-pyc-0.9.25-r0.apk                       25-Oct-2024 20:07              111469
py3-dogpile.cache-1.3.3-r1.apk                     14-May-2025 21:14               53839
py3-dogpile.cache-pyc-1.3.3-r1.apk                 14-May-2025 21:14               92651
py3-doi-0.2-r0.apk                                 12-Apr-2025 12:09                6308
py3-doi-pyc-0.2-r0.apk                             12-Apr-2025 12:09                4858
py3-doit-0.36.0-r5.apk                             25-Oct-2024 20:07               78340
py3-doit-pyc-0.36.0-r5.apk                         25-Oct-2024 20:07              136447
py3-dominate-2.9.1-r1.apk                          25-Oct-2024 20:07               25343
py3-dominate-pyc-2.9.1-r1.apk                      25-Oct-2024 20:07               34540
py3-dotty-dict-1.3.1-r4.apk                        25-Oct-2024 20:07                8601
py3-dotty-dict-pyc-1.3.1-r4.apk                    25-Oct-2024 20:07                8890
py3-downloader-cli-0.3.4-r2.apk                    14-May-2025 21:14               11442
py3-downloader-cli-pyc-0.3.4-r2.apk                14-May-2025 21:14               14462
py3-dpath-2.2.0-r0.apk                             25-Oct-2024 20:07               17436
py3-dpath-pyc-2.2.0-r0.apk                         25-Oct-2024 20:07               18194
py3-drf-yasg-1.21.7-r2.apk                         25-Oct-2024 20:07             4248347
py3-drf-yasg-pyc-1.21.7-r2.apk                     25-Oct-2024 20:07               99688
py3-dunamai-1.24.0-r0.apk                          14-May-2025 21:14               27148
py3-dunamai-pyc-1.24.0-r0.apk                      14-May-2025 21:14               44440
py3-duniterpy-1.1.1-r3.apk                         25-Oct-2024 20:07              226632
py3-dweepy-0.3.0-r7.apk                            25-Oct-2024 20:07                9302
py3-dweepy-pyc-0.3.0-r7.apk                        25-Oct-2024 20:07                6407
py3-ecbdata-0.1.1-r0.apk                           13-Apr-2025 23:28               13795
py3-ecos-2.0.11-r4.apk                             25-Oct-2024 20:07               27960
py3-ecos-pyc-2.0.11-r4.apk                         25-Oct-2024 20:07                3720
py3-edalize-0.5.4-r0.apk                           25-Oct-2024 20:07              125542
py3-edalize-pyc-0.5.4-r0.apk                       25-Oct-2024 20:07              194738
py3-editdistance-s-1.0.0-r6.apk                    25-Oct-2024 20:07               15384
py3-editdistance-s-pyc-1.0.0-r6.apk                25-Oct-2024 20:07                2075
py3-empy-3.3.4-r7.apk                              25-Oct-2024 20:07               40265
py3-empy-pyc-3.3.4-r7.apk                          25-Oct-2024 20:07               59896
py3-enzyme-0.5.1-r1.apk                            14-May-2025 21:14               23262
py3-enzyme-pyc-0.5.1-r1.apk                        14-May-2025 21:14               19250
py3-eradicate-2.3.0-r2.apk                         25-Oct-2024 20:07                7751
py3-eradicate-doc-2.3.0-r2.apk                     25-Oct-2024 20:07                2578
py3-eradicate-pyc-2.3.0-r2.apk                     25-Oct-2024 20:07                8549
py3-euclid3-0.01-r8.apk                            25-Oct-2024 20:07               14510
py3-euclid3-pyc-0.01-r8.apk                        25-Oct-2024 20:07               33337
py3-eventlet-0.38.1-r0.apk                         11-Dec-2024 21:35              340184
py3-eventlet-pyc-0.38.1-r0.apk                     11-Dec-2024 21:35              343696
py3-evohome-client-0.3.7-r4.apk                    25-Oct-2024 20:07               19409
py3-evohome-client-pyc-0.3.7-r4.apk                25-Oct-2024 20:07               27690
py3-fastdiff-0.3.0-r5.apk                          25-Oct-2024 20:07               39358
py3-fastdiff-pyc-0.3.0-r5.apk                      25-Oct-2024 20:07                4302
py3-feedgen-1.0.0-r1.apk                           25-Oct-2024 20:07               41195
py3-feedgen-pyc-1.0.0-r1.apk                       25-Oct-2024 20:07               63169
py3-feedgenerator-2.1.0-r2.apk                     25-Oct-2024 20:07               18455
py3-feedgenerator-pyc-2.1.0-r2.apk                 25-Oct-2024 20:07               27393
py3-ffmpeg-0.2.0-r5.apk                            14-May-2025 21:14               24196
py3-ffmpeg-pyc-0.2.0-r5.apk                        14-May-2025 21:14               33390
py3-firmata-1.0.3-r10.apk                          25-Oct-2024 20:07               14525
py3-firmata-pyc-1.0.3-r10.apk                      25-Oct-2024 20:07               21376
py3-flake8-blind-except-0.2.1-r4.apk               25-Oct-2024 20:07                5324
py3-flake8-blind-except-pyc-0.2.1-r4.apk           25-Oct-2024 20:07                2654
py3-flake8-builtins-2.5.0-r0.apk                   07-Dec-2024 21:51               13039
py3-flake8-builtins-pyc-2.5.0-r0.apk               07-Dec-2024 21:51                8063
py3-flake8-copyright-0.2.4-r3.apk                  25-Oct-2024 20:07               18683
py3-flake8-copyright-pyc-0.2.4-r3.apk              25-Oct-2024 20:07                3413
py3-flake8-debugger-4.1.2-r4.apk                   25-Oct-2024 20:07                6387
py3-flake8-debugger-pyc-4.1.2-r4.apk               25-Oct-2024 20:07                6107
py3-flake8-import-order-0.18.2-r4.apk              25-Oct-2024 20:07               15777
py3-flake8-import-order-pyc-0.18.2-r4.apk          25-Oct-2024 20:07               17177
py3-flake8-isort-6.1.1-r1.apk                      25-Oct-2024 20:07               18629
py3-flake8-isort-pyc-6.1.1-r1.apk                  25-Oct-2024 20:07                5497
py3-flake8-polyfill-1.0.2-r5.apk                   25-Oct-2024 20:07                7243
py3-flake8-polyfill-pyc-1.0.2-r5.apk               25-Oct-2024 20:07                5830
py3-flake8-print-5.0.0-r5.apk                      25-Oct-2024 20:07                6883
py3-flake8-print-pyc-5.0.0-r5.apk                  25-Oct-2024 20:07                4515
py3-flake8-snippets-0.2-r8.apk                     25-Oct-2024 20:07                5449
py3-flake8-snippets-pyc-0.2-r8.apk                 25-Oct-2024 20:07                3759
py3-flake8-todo-0.7-r7.apk                         25-Oct-2024 20:07                3680
py3-flake8-todo-pyc-0.7-r7.apk                     25-Oct-2024 20:07                2287
py3-flask-accept-0.0.6-r1.apk                      25-Oct-2024 20:07                5108
py3-flask-accept-pyc-0.0.6-r1.apk                  25-Oct-2024 20:07                3791
py3-flask-admin-1.6.1-r3.apk                       25-Oct-2024 20:07             6838817
py3-flask-admin-pyc-1.6.1-r3.apk                   25-Oct-2024 20:07              366892
py3-flask-autorouter-0.2.2-r3.apk                  25-Oct-2024 20:07                5206
py3-flask-autorouter-pyc-0.2.2-r3.apk              25-Oct-2024 20:07                5067
py3-flask-basicauth-0.2.0-r9.apk                   25-Oct-2024 20:07                5467
py3-flask-basicauth-pyc-0.2.0-r9.apk               25-Oct-2024 20:07                4172
py3-flask-bcrypt-1.0.1-r5.apk                      25-Oct-2024 20:07                7314
py3-flask-bcrypt-pyc-1.0.1-r5.apk                  25-Oct-2024 20:07                5940
py3-flask-bootstrap-3.3.7.1-r9.apk                 14-May-2025 21:14              459394
py3-flask-bootstrap-pyc-3.3.7.1-r9.apk             14-May-2025 21:14               11133
py3-flask-cache-0.13.1-r9.apk                      25-Oct-2024 20:07               13079
py3-flask-cache-pyc-0.13.1-r9.apk                  25-Oct-2024 20:07               18720
py3-flask-cdn-1.5.3-r8.apk                         25-Oct-2024 20:07                4872
py3-flask-cdn-pyc-1.5.3-r8.apk                     25-Oct-2024 20:07                4180
py3-flask-components-0.1.1-r9.apk                  25-Oct-2024 20:07                4020
py3-flask-components-pyc-0.1.1-r9.apk              25-Oct-2024 20:07                3383
py3-flask-dbconfig-0.3.12-r8.apk                   25-Oct-2024 20:07               87742
py3-flask-dbconfig-pyc-0.3.12-r8.apk               25-Oct-2024 20:07                6405
py3-flask-flatpages-0.8.3-r0.apk                   06-Dec-2024 22:57               10955
py3-flask-flatpages-pyc-0.8.3-r0.apk               06-Dec-2024 22:57               13981
py3-flask-gzip-0.2-r8.apk                          25-Oct-2024 20:07                3258
py3-flask-gzip-pyc-0.2-r8.apk                      25-Oct-2024 20:07                2893
py3-flask-headers-1.0-r9.apk                       25-Oct-2024 20:07                3280
py3-flask-headers-pyc-1.0-r9.apk                   25-Oct-2024 20:07                2513
py3-flask-httpauth-4.8.0-r2.apk                    25-Oct-2024 20:07                8172
py3-flask-httpauth-pyc-4.8.0-r2.apk                25-Oct-2024 20:07               10844
py3-flask-json-schema-0.0.5-r4.apk                 25-Oct-2024 20:07                4195
py3-flask-json-schema-pyc-0.0.5-r4.apk             25-Oct-2024 20:07                3522
py3-flask-limiter-3.10.1-r0.apk                    25-Jan-2025 07:04               27243
py3-flask-limiter-pyc-3.10.1-r0.apk                25-Jan-2025 07:04               48105
py3-flask-loopback-1.4.7-r7.apk                    25-Oct-2024 20:07                5704
py3-flask-loopback-pyc-1.4.7-r7.apk                25-Oct-2024 20:07                8112
py3-flask-mailman-1.1.1-r0.apk                     25-Oct-2024 20:07               16863
py3-flask-mailman-pyc-1.1.1-r0.apk                 25-Oct-2024 20:07               26356
py3-flask-markdown-0.3-r8.apk                      25-Oct-2024 20:07                5749
py3-flask-markdown-pyc-0.3-r8.apk                  25-Oct-2024 20:07                3952
py3-flask-migrate-4.0.7-r0.apk                     25-Oct-2024 20:07               13404
py3-flask-migrate-pyc-4.0.7-r0.apk                 25-Oct-2024 20:07               18566
py3-flask-paginate-0.8.1-r6.apk                    25-Oct-2024 20:07                8411
py3-flask-paginate-pyc-0.8.1-r6.apk                25-Oct-2024 20:07               11461
py3-flask-peewee-3.0.6-r0.apk                      25-Oct-2024 20:07              176171
py3-flask-peewee-pyc-3.0.6-r0.apk                  25-Oct-2024 20:07               97765
py3-flask-qrcode-3.2.0-r0.apk                      12-Dec-2024 06:32               18463
py3-flask-qrcode-pyc-3.2.0-r0.apk                  12-Dec-2024 06:32                6299
py3-flask-restaction-0.25.3-r8.apk                 25-Oct-2024 20:07              117603
py3-flask-restaction-pyc-0.25.3-r8.apk             25-Oct-2024 20:07               20287
py3-flask-restless-0.17.0-r9.apk                   25-Oct-2024 20:07               41452
py3-flask-restless-pyc-0.17.0-r9.apk               25-Oct-2024 20:07               60480
py3-flask-security-5.6.1-r0.apk                    22-Apr-2025 21:28              301614
py3-flask-security-pyc-5.6.1-r0.apk                22-Apr-2025 21:28              232382
py3-flask-themer-2.0.0-r2.apk                      25-Oct-2024 20:07                8083
py3-flask-themer-pyc-2.0.0-r2.apk                  25-Oct-2024 20:07                7140
py3-forbiddenfruit-0.1.4-r2.apk                    25-Oct-2024 20:07                9178
py3-forbiddenfruit-pyc-0.1.4-r2.apk                25-Oct-2024 20:07                9890
py3-fpdf-1.7.2-r5.apk                              25-Oct-2024 20:07               40669
py3-fpdf-pyc-1.7.2-r5.apk                          25-Oct-2024 20:07               91317
py3-freetype-py-2.5.1-r0.apk                       25-Oct-2024 20:07              164806
py3-furl-2.1.3-r4.apk                              25-Oct-2024 20:07               21889
py3-furl-pyc-2.1.3-r4.apk                          25-Oct-2024 20:07               33126
py3-geoip-1.3.2-r4.apk                             25-Oct-2024 20:07               22436
py3-gevent-websocket-0.10.1-r8.apk                 25-Oct-2024 20:07               20213
py3-gevent-websocket-pyc-0.10.1-r8.apk             25-Oct-2024 20:07               31091
py3-git-versioner-7.1-r1.apk                       25-Oct-2024 20:07               12251
py3-git-versioner-pyc-7.1-r1.apk                   25-Oct-2024 20:07               13838
py3-github3-4.0.1-r1.apk                           25-Oct-2024 20:07              131343
py3-github3-pyc-4.0.1-r1.apk                       25-Oct-2024 20:07              232423
py3-glob2-0.7-r6.apk                               25-Oct-2024 20:07               10512
py3-glob2-pyc-0.7-r6.apk                           25-Oct-2024 20:07               13219
py3-gls-1.3.1-r1.apk                               25-Oct-2024 20:07               47892
py3-gls-pyc-1.3.1-r1.apk                           25-Oct-2024 20:07               86152
py3-google-trans-new-1.1.9-r2.apk                  25-Oct-2024 20:07                9453
py3-google-trans-new-pyc-1.1.9-r2.apk              25-Oct-2024 20:07               10834
py3-googletrans-3.0.0-r5.apk                       14-May-2025 21:14               15565
py3-googletrans-pyc-3.0.0-r5.apk                   14-May-2025 21:14               17684
py3-grequests-0.7.0-r3.apk                         14-May-2025 21:14                6884
py3-grequests-pyc-0.7.0-r3.apk                     14-May-2025 21:14                5984
py3-gtkspellcheck-5.0.3-r0.apk                     07-Dec-2024 20:32               45788
py3-gtkspellcheck-pyc-5.0.3-r0.apk                 07-Dec-2024 20:32               30268
py3-halo-0.0.31-r5.apk                             25-Oct-2024 20:07               11738
py3-halo-pyc-0.0.31-r5.apk                         25-Oct-2024 20:07               14254
py3-hatch-openzim-0.2.0-r0.apk                     25-Oct-2024 20:07               25098
py3-hatch-openzim-bootstrap-0.1.0-r0.apk           25-Oct-2024 20:07               24760
py3-hatch-openzim-bootstrap-pyc-0.1.0-r0.apk       25-Oct-2024 20:07               12992
py3-hatch-openzim-pyc-0.2.0-r0.apk                 25-Oct-2024 20:07               13305
py3-helper-2.5.0-r5.apk                            25-Oct-2024 20:07               19222
py3-helper-pyc-2.5.0-r5.apk                        25-Oct-2024 20:07               28850
py3-hfst-3.16.2-r0.apk                             28-Mar-2025 16:15              364400
py3-hg-git-1.1.1-r1.apk                            25-Oct-2024 20:07               71779
py3-hg-git-pyc-1.1.1-r1.apk                        25-Oct-2024 20:07              109354
py3-hishel-0.1.2-r0.apk                            09-Apr-2025 00:11               33885
py3-hishel-pyc-0.1.2-r0.apk                        09-Apr-2025 00:11               74619
py3-html5-parser-0.4.12-r1.apk                     25-Oct-2024 20:07              166368
py3-html5-parser-pyc-0.4.12-r1.apk                 25-Oct-2024 20:07               22807
py3-hurry.filesize-0.9-r8.apk                      25-Oct-2024 20:07                4722
py3-hurry.filesize-pyc-0.9-r8.apk                  25-Oct-2024 20:07                3334
py3-igraph-0.11.8-r1.apk                           29-May-2025 11:57              411444
py3-igraph-dev-0.11.8-r1.apk                       29-May-2025 11:57                2662
py3-igraph-pyc-0.11.8-r1.apk                       29-May-2025 11:57              379735
py3-imageio-2.35.1-r0.apk                          25-Oct-2024 20:07              294368
py3-imageio-ffmpeg-0.4.9-r1.apk                    25-Oct-2024 20:07               16885
py3-imageio-ffmpeg-pyc-0.4.9-r1.apk                25-Oct-2024 20:07               20751
py3-imageio-pyc-2.35.1-r0.apk                      25-Oct-2024 20:07              516534
py3-imdbpy-2021.4.18-r5.apk                        25-Oct-2024 20:07              234496
py3-imdbpy-pyc-2021.4.18-r5.apk                    25-Oct-2024 20:07              248404
py3-incoming-0.3.1-r8.apk                          25-Oct-2024 20:07               13135
py3-incoming-pyc-0.3.1-r8.apk                      25-Oct-2024 20:07               20426
py3-infinity-1.5-r6.apk                            25-Oct-2024 20:07                4484
py3-infinity-pyc-1.5-r6.apk                        25-Oct-2024 20:07                3826
py3-iniparse-0.5-r7.apk                            25-Oct-2024 20:07               19137
py3-iniparse-doc-0.5-r7.apk                        25-Oct-2024 20:07               10591
py3-iniparse-pyc-0.5-r7.apk                        25-Oct-2024 20:07               25213
py3-intervals-0.9.2-r5.apk                         25-Oct-2024 20:07                9673
py3-intervals-pyc-0.9.2-r5.apk                     25-Oct-2024 20:07               15527
py3-ioctl-opt-1.3-r0.apk                           27-Jan-2025 21:37               11904
py3-ioctl-opt-pyc-1.3-r0.apk                       27-Jan-2025 21:37                4761
py3-irc-20.4.1-r0.apk                              25-Oct-2024 20:07               41879
py3-irc-pyc-20.4.1-r0.apk                          25-Oct-2024 20:07               72697
py3-isbnlib-3.10.14-r0.apk                         25-Jan-2025 07:04               43688
py3-isbnlib-pyc-3.10.14-r0.apk                     25-Jan-2025 07:04               68285
py3-iso639-lang-2.2.3-r0.apk                       25-Oct-2024 20:07              275438
py3-iso639-lang-pyc-2.2.3-r0.apk                   25-Oct-2024 20:07                9931
py3-itemadapter-0.10.0-r0.apk                      30-Nov-2024 20:42               11519
py3-itemadapter-pyc-0.10.0-r0.apk                  30-Nov-2024 20:42               12974
py3-itemloaders-1.3.2-r0.apk                       25-Oct-2024 20:07               12812
py3-itemloaders-pyc-1.3.2-r0.apk                   25-Oct-2024 20:07               16960
py3-iterable-io-1.0.0-r0.apk                       25-Oct-2024 20:07                6183
py3-iterable-io-pyc-1.0.0-r0.apk                   25-Oct-2024 20:07                5378
py3-itunespy-1.6-r5.apk                            14-May-2025 21:14                9974
py3-itunespy-pyc-1.6-r5.apk                        14-May-2025 21:14               14947
py3-janus-1.2.0-r0.apk                             13-Dec-2024 04:57               12653
py3-janus-pyc-1.2.0-r0.apk                         13-Dec-2024 04:57               13656
py3-jaraco.logging-3.3.0-r0.apk                    25-Oct-2024 20:07                6169
py3-jaraco.logging-pyc-3.3.0-r0.apk                25-Oct-2024 20:07                5983
py3-jaraco.path-3.7.2-r0.apk                       25-Oct-2024 20:07                7806
py3-jaraco.path-pyc-3.7.2-r0.apk                   25-Oct-2024 20:07                9752
py3-jaraco.stream-3.0.4-r0.apk                     14-Dec-2024 22:49                6875
py3-jaraco.stream-pyc-3.0.4-r0.apk                 14-Dec-2024 22:49                8273
py3-jaraco.vcs-2.4.1-r0.apk                        09-Mar-2025 09:04               10435
py3-jaraco.vcs-pyc-2.4.1-r0.apk                    09-Mar-2025 09:04               16337
py3-jaraco.versioning-1.1.0-r0.apk                 25-Oct-2024 20:07                6054
py3-jaraco.versioning-pyc-1.1.0-r0.apk             25-Oct-2024 20:07                6249
py3-json5-0.9.25-r0.apk                            30-Nov-2024 20:49               25580
py3-json5-pyc-0.9.25-r0.apk                        30-Nov-2024 20:49               30074
py3-junit-xml-1.9-r3.apk                           25-Oct-2024 20:07                8541
py3-junit-xml-pyc-1.9-r3.apk                       25-Oct-2024 20:07                9539
py3-jupyterlab3-3.6.7-r0.apk                       19-Mar-2025 11:44            14676036
py3-jupyterlab_server-2.27.3-r0.apk                19-Mar-2025 11:44              126671
py3-kazoo-0_git20211202-r4.apk                     25-Oct-2024 20:07              128028
py3-kazoo-pyc-0_git20211202-r4.apk                 25-Oct-2024 20:07              250372
py3-keepalive-0.5-r5.apk                           25-Oct-2024 20:07                9185
py3-keepalive-doc-0.5-r5.apk                       25-Oct-2024 20:07                2035
py3-keepalive-pyc-0.5-r5.apk                       25-Oct-2024 20:07               13359
py3-kerberos-1.3.1-r5.apk                          25-Oct-2024 20:07               17048
py3-landlock-1.0.0_pre4-r2.apk                     25-Oct-2024 20:07                8609
py3-landlock-pyc-1.0.0_pre4-r2.apk                 25-Oct-2024 20:07                9750
py3-langcodes-3.3.0-r2.apk                         25-Oct-2024 20:07              177703
py3-langcodes-pyc-3.3.0-r2.apk                     25-Oct-2024 20:07              112362
py3-language-data-1.3.0-r0.apk                     01-Dec-2024 20:08             5191895
py3-language-data-pyc-1.3.0-r0.apk                 01-Dec-2024 20:08             3105406
py3-latex2mathml-3.77.0-r1.apk                     25-Oct-2024 20:07               74001
py3-latex2mathml-pyc-3.77.0-r1.apk                 25-Oct-2024 20:07               35783
py3-lib_users-0.15-r4.apk                          25-Oct-2024 20:07               15995
py3-lib_users-pyc-0.15-r4.apk                      25-Oct-2024 20:07                9737
py3-libacl-0.7.0-r2.apk                            25-Oct-2024 20:07               25680
py3-libcec-rpi-6.0.2-r4.apk                        25-Oct-2024 20:07              107501
py3-libguestfs-1.52.0-r1.apk                       25-Oct-2024 20:07              180893
py3-libiio-0.25-r2.apk                             25-Oct-2024 20:07               12875
py3-liblarch-3.2.0-r6.apk                          08-Dec-2024 21:40               30221
py3-liblarch-pyc-3.2.0-r6.apk                      08-Dec-2024 21:40               50796
py3-libmdbx-0.10.2-r7.apk                          25-Oct-2024 20:07               28560
py3-libmdbx-pyc-0.10.2-r7.apk                      25-Oct-2024 20:07               33603
py3-libnacl-2.1.0-r1.apk                           25-Oct-2024 20:07               20801
py3-libnacl-pyc-2.1.0-r1.apk                       25-Oct-2024 20:07               31075
py3-libpyshell-0.4.1-r0.apk                        13-Apr-2025 22:16               11803
py3-libpyshell-pyc-0.4.1-r0.apk                    13-Apr-2025 22:16               18745
py3-librtmp-0.3.0-r6.apk                           25-Oct-2024 20:07               34097
py3-librtmp-pyc-0.3.0-r6.apk                       25-Oct-2024 20:07               25160
py3-limits-3.14.1-r0.apk                           25-Dec-2024 18:53               34302
py3-limits-pyc-3.14.1-r0.apk                       25-Dec-2024 18:53               73153
py3-linkify-it-py-2.0.3-r1.apk                     25-Oct-2024 20:07               21842
py3-linkify-it-py-pyc-2.0.3-r1.apk                 25-Oct-2024 20:07               23985
py3-linux-procfs-0.7.3-r0.apk                      13-Jan-2025 21:19               13931
py3-linux-procfs-pyc-0.7.3-r0.apk                  13-Jan-2025 21:19               22663
py3-litex-hub-modules-2024.04-r0.apk               25-Oct-2024 20:07                1661
py3-litex-hub-modules-pyc-2024.04-r0.apk           25-Oct-2024 20:07             1161225
py3-litex-hub-pythondata-cpu-blackparrot-2024.0..> 25-Oct-2024 20:07             5823454
py3-litex-hub-pythondata-cpu-cv32e40p-2024.04-r..> 25-Oct-2024 20:07             2024147
py3-litex-hub-pythondata-cpu-cv32e41p-2024.04-r..> 25-Oct-2024 20:07              956550
py3-litex-hub-pythondata-cpu-cva5-2024.04-r0.apk   25-Oct-2024 20:07              512021
py3-litex-hub-pythondata-cpu-cva6-2024.04-r0.apk   25-Oct-2024 20:07            10642022
py3-litex-hub-pythondata-cpu-ibex-2024.04-r0.apk   25-Oct-2024 20:07             1890457
py3-litex-hub-pythondata-cpu-lm32-2024.04-r0.apk   25-Oct-2024 20:07              114798
py3-litex-hub-pythondata-cpu-marocchino-2024.04..> 25-Oct-2024 20:07              212850
py3-litex-hub-pythondata-cpu-microwatt-2024.04-..> 25-Oct-2024 20:07            20402081
py3-litex-hub-pythondata-cpu-minerva-2024.04-r0..> 25-Oct-2024 20:07               45902
py3-litex-hub-pythondata-cpu-mor1kx-2024.04-r0.apk 25-Oct-2024 20:07              226714
py3-litex-hub-pythondata-cpu-naxriscv-2024.04-r..> 25-Oct-2024 20:07                7739
py3-litex-hub-pythondata-cpu-picorv32-2024.04-r..> 25-Oct-2024 20:07              235821
py3-litex-hub-pythondata-cpu-rocket-2024.04-r0.apk 25-Oct-2024 20:07            59549452
py3-litex-hub-pythondata-cpu-serv-2024.04-r0.apk   25-Oct-2024 20:07              691415
py3-litex-hub-pythondata-cpu-vexriscv-2024.04-r..> 25-Oct-2024 20:07              729739
py3-litex-hub-pythondata-cpu-vexriscv_smp-2024...> 25-Oct-2024 20:07             2521219
py3-litex-hub-pythondata-misc-tapcfg-2024.04-r0..> 25-Oct-2024 20:07               59339
py3-litex-hub-pythondata-software-compiler_rt-2..> 25-Oct-2024 20:07             2308336
py3-litex-hub-pythondata-software-picolibc-2024..> 25-Oct-2024 20:07             4914190
py3-litex-hub-valentyusb-2024.04-r0.apk            25-Oct-2024 20:07              114195
py3-livestream-2.1.0-r0.apk                        25-Nov-2024 22:22              784629
py3-livestream-pyc-2.1.0-r0.apk                    25-Nov-2024 22:22               30607
py3-log-symbols-0.0.14-r5.apk                      25-Oct-2024 20:07                4307
py3-log-symbols-pyc-0.0.14-r5.apk                  25-Oct-2024 20:07                3142
py3-logtop-0.7-r0.apk                              25-Oct-2024 20:07               19307
py3-logtop-pyc-0.7-r0.apk                          25-Oct-2024 20:07                4158
py3-lsprotocol-2023.0.1-r1.apk                     25-Oct-2024 20:07               71162
py3-lsprotocol-pyc-2023.0.1-r1.apk                 25-Oct-2024 20:07              109685
py3-luhn-0.2.0-r9.apk                              25-Oct-2024 20:07                4072
py3-luhn-pyc-0.2.0-r9.apk                          25-Oct-2024 20:07                2691
py3-lunr-0.6.2-r4.apk                              25-Oct-2024 20:07               33265
py3-lunr-pyc-0.6.2-r4.apk                          25-Oct-2024 20:07               51837
py3-lxmf-0.7.1-r0.apk                              27-May-2025 21:40               51979
py3-lxmf-pyc-0.7.1-r0.apk                          27-May-2025 21:40              111935
py3-ly-0.9.8-r1.apk                                25-Oct-2024 20:07              191724
py3-ly-doc-0.9.8-r1.apk                            25-Oct-2024 20:07                8287
py3-ly-pyc-0.9.8-r1.apk                            25-Oct-2024 20:07              363613
py3-lzo-1.16-r1.apk                                25-Oct-2024 20:07               16812
py3-lzo-pyc-1.16-r1.apk                            25-Oct-2024 20:07                2014
py3-m2crypto-0.41.0-r2.apk                         25-Oct-2024 20:07              196061
py3-m2crypto-pyc-0.41.0-r2.apk                     25-Oct-2024 20:07              125548
py3-mando-0.7.1-r3.apk                             25-Oct-2024 20:07               22671
py3-mando-doc-0.7.1-r3.apk                         25-Oct-2024 20:07                4296
py3-mando-pyc-0.7.1-r3.apk                         25-Oct-2024 20:07               36829
py3-manuel-1.13.0-r0.apk                           30-Nov-2024 20:13               40053
py3-manuel-pyc-1.13.0-r0.apk                       30-Nov-2024 20:13               26228
py3-mapbox-earcut-1.0.1-r2.apk                     25-Oct-2024 20:07               59127
py3-marisa-trie-1.2.1-r0.apk                       11-Nov-2024 13:12              141229
py3-markdown2-2.5.0-r0.apk                         25-Oct-2024 20:07               48352
py3-markdown2-pyc-2.5.0-r0.apk                     25-Oct-2024 20:07               77300
py3-markdownify-1.1.0-r0.apk                       17-Apr-2025 22:52               15015
py3-markdownify-pyc-1.1.0-r0.apk                   17-Apr-2025 22:52               17471
py3-marshmallow-3.26.1-r0.apk                      21-Feb-2025 22:37               48688
py3-marshmallow-enum-1.5.1-r7.apk                  25-Oct-2024 20:07                5421
py3-marshmallow-enum-pyc-1.5.1-r7.apk              25-Oct-2024 20:07                4558
py3-marshmallow-pyc-3.26.1-r0.apk                  21-Feb-2025 22:37               86937
py3-mbedtls-2.10.1-r3.apk                          29-May-2025 11:57              988008
py3-mbedtls-pyc-2.10.1-r3.apk                      29-May-2025 11:57               27652
py3-migen-0.9.2-r2.apk                             25-Oct-2024 20:07              146037
py3-migen-pyc-0.9.2-r2.apk                         25-Oct-2024 20:07              302742
py3-milc-1.9.1-r0.apk                              25-Jan-2025 16:04               26617
py3-milc-pyc-1.9.1-r0.apk                          25-Jan-2025 16:04               42496
py3-minidb-2.0.8-r0.apk                            13-Nov-2024 18:52               10294
py3-minidb-pyc-2.0.8-r0.apk                        13-Nov-2024 18:52               23989
py3-minidump-0.0.24-r1.apk                         29-May-2025 11:57               65050
py3-minidump-pyc-0.0.24-r1.apk                     29-May-2025 11:57              132196
py3-minikerberos-0.4.6-r1.apk                      29-May-2025 11:57              131413
py3-minikerberos-pyc-0.4.6-r1.apk                  29-May-2025 11:57              274566
py3-minio-7.2.13-r0.apk                            25-Dec-2024 18:53               78222
py3-minio-pyc-7.2.13-r0.apk                        25-Dec-2024 18:53              164235
py3-mistletoe-1.4.0-r0.apk                         19-Mar-2025 11:44               45631
py3-mistletoe-pyc-1.4.0-r0.apk                     19-Mar-2025 11:44               95235
py3-mnemonic-0.21-r0.apk                           25-Oct-2024 20:07               97326
py3-mnemonic-doc-0.21-r0.apk                       25-Oct-2024 20:07                2458
py3-mnemonic-pyc-0.21-r0.apk                       25-Oct-2024 20:07                9921
py3-modbus-tk-1.1.1-r4.apk                         25-Oct-2024 20:07               25365
py3-modbus-tk-pyc-1.1.1-r4.apk                     25-Oct-2024 20:07               49649
py3-mopidy-jellyfin-1.0.4-r4.apk                   25-Oct-2024 20:07               25129
py3-mopidy-jellyfin-pyc-1.0.4-r4.apk               25-Oct-2024 20:07               37525
py3-mopidy-local-3.3.0-r0.apk                      01-Jan-2025 22:26               28437
py3-mopidy-local-pyc-3.3.0-r0.apk                  01-Jan-2025 22:26               34914
py3-mopidy-mpd-3.3.0-r4.apk                        25-Oct-2024 20:07               47114
py3-mopidy-mpd-pyc-3.3.0-r4.apk                    25-Oct-2024 20:07               75589
py3-mopidy-tidal-0.3.2-r6.apk                      25-Oct-2024 20:07               25346
py3-mopidy-tidal-pyc-0.3.2-r6.apk                  25-Oct-2024 20:07               36489
py3-more-properties-1.1.1-r3.apk                   25-Oct-2024 20:07                7613
py3-more-properties-pyc-1.1.1-r3.apk               25-Oct-2024 20:07                8421
py3-moviepy-1.0.3-r6.apk                           25-Oct-2024 20:07               96761
py3-moviepy-pyc-1.0.3-r6.apk                       25-Oct-2024 20:07              159262
py3-msldap-0.5.15-r1.apk                           29-May-2025 11:57              146385
py3-msldap-pyc-0.5.15-r1.apk                       29-May-2025 11:57              337751
py3-mss-10.0.0-r0.apk                              14-Nov-2024 13:10               51803
py3-natpmp-1.3.2-r1.apk                            25-Oct-2024 20:07                9636
py3-natpmp-pyc-1.3.2-r1.apk                        25-Oct-2024 20:07               10094
py3-ncclient-0.6.13-r5.apk                         25-Oct-2024 20:07               69850
py3-ncclient-pyc-0.6.13-r5.apk                     25-Oct-2024 20:07              109076
py3-netifaces2-0.0.22-r0.apk                       25-Oct-2024 20:07              205320
py3-netifaces2-pyc-0.0.22-r0.apk                   25-Oct-2024 20:07                9430
py3-netmiko-4.5.0-r0.apk                           13-Feb-2025 07:33              183502
py3-netmiko-pyc-4.5.0-r0.apk                       13-Feb-2025 07:33              356316
py3-nmap-0.7.1-r4.apk                              25-Oct-2024 20:07               20840
py3-nmap-pyc-0.7.1-r4.apk                          25-Oct-2024 20:07               26092
py3-nose-timer-1.0.1-r6.apk                        25-Oct-2024 20:07                9597
py3-nose-timer-pyc-1.0.1-r6.apk                    25-Oct-2024 20:07               10151
py3-notifymail-1.1-r8.apk                          25-Oct-2024 20:07                7763
py3-notifymail-pyc-1.1-r8.apk                      25-Oct-2024 20:07                5877
py3-nptyping-2.5.0-r3.apk                          25-Oct-2024 20:07               21854
py3-nptyping-pyc-2.5.0-r3.apk                      25-Oct-2024 20:07               32801
py3-ntplib-0.4.0-r5.apk                            25-Oct-2024 20:07                7576
py3-ntplib-pyc-0.4.0-r5.apk                        25-Oct-2024 20:07                8832
py3-numpy-stl-3.2.0-r0.apk                         01-Dec-2024 02:04               21514
py3-numpy-stl-pyc-3.2.0-r0.apk                     01-Dec-2024 02:04               28542
py3-nwdiag-3.0.0-r3.apk                            25-Oct-2024 20:07             5155509
py3-nwdiag-pyc-3.0.0-r3.apk                        25-Oct-2024 20:07               79745
py3-okonomiyaki-2.0.0-r0.apk                       25-Oct-2024 20:07             8270615
py3-okonomiyaki-pyc-2.0.0-r0.apk                   25-Oct-2024 20:07              248905
py3-onnxruntime-1.22.0-r0.apk                      14-May-2025 21:14            12300233
py3-onnxruntime-pyc-1.22.0-r0.apk                  14-May-2025 21:14             1392973
py3-openapi-codec-1.3.2-r9.apk                     25-Oct-2024 20:07                7831
py3-openapi-codec-pyc-1.3.2-r9.apk                 25-Oct-2024 20:07               12017
py3-opendht-3.1.11-r0.apk                          27-Jan-2025 20:56              158517
py3-openssh-wrapper-0.5_git20130425-r4.apk         25-Oct-2024 20:07                8441
py3-openssh-wrapper-pyc-0.5_git20130425-r4.apk     25-Oct-2024 20:07               10674
py3-openwisp-utils-1.0.4-r4.apk                    25-Oct-2024 20:07              509582
py3-openwisp-utils-pyc-1.0.4-r4.apk                25-Oct-2024 20:07               43278
py3-orderedmultidict-1.0.1-r7.apk                  25-Oct-2024 20:07               12156
py3-orderedmultidict-pyc-1.0.1-r7.apk              25-Oct-2024 20:07               17616
py3-ovos-audio-plugin-simple-0.0.1-r0.apk          25-Oct-2024 20:07               10206
py3-ovos-audio-plugin-simple-pyc-0.0.1-r0.apk      25-Oct-2024 20:07                8419
py3-ovos-backend-client-1.0.0-r0.apk               25-Oct-2024 20:07               47018
py3-ovos-backend-client-pyc-1.0.0-r0.apk           25-Oct-2024 20:07               93235
py3-ovos-bus-client-1.3.4-r0.apk                   29-Apr-2025 19:46               51156
py3-ovos-bus-client-pyc-1.3.4-r0.apk               29-Apr-2025 19:46               91142
py3-ovos-classifiers-0.0.0_alpha53-r0.apk          25-Oct-2024 20:07              105445
py3-ovos-classifiers-pyc-0.0.0_alpha53-r0.apk      25-Oct-2024 20:07              167448
py3-ovos-config-1.2.2-r0.apk                       01-Jun-2025 16:49               47056
py3-ovos-config-pyc-1.2.2-r0.apk                   01-Jun-2025 16:49               35523
py3-ovos-lingua-franca-0.4.8_alpha3-r2.apk         25-Oct-2024 20:07              366364
py3-ovos-lingua-franca-pyc-0.4.8_alpha3-r2.apk     25-Oct-2024 20:07              447178
py3-ovos-microphone-plugin-alsa-0.1.0-r0.apk       25-Oct-2024 20:07                9219
py3-ovos-microphone-plugin-alsa-pyc-0.1.0-r0.apk   25-Oct-2024 20:07                4872
py3-ovos-ocp-audio-plugin-0.0.6_alpha2-r3.apk      25-Oct-2024 20:07              563431
py3-ovos-ocp-audio-plugin-pyc-0.0.6_alpha2-r3.apk  25-Oct-2024 20:07               88105
py3-ovos-ocp-files-plugin-0.13.1-r0.apk            25-Oct-2024 20:07               48094
py3-ovos-ocp-files-plugin-pyc-0.13.1-r0.apk        25-Oct-2024 20:07              106056
py3-ovos-ocp-m3u-plugin-0.0.2-r0.apk               25-Oct-2024 20:07                8267
py3-ovos-ocp-m3u-plugin-pyc-0.0.2-r0.apk           25-Oct-2024 20:07                3480
py3-ovos-ocp-news-plugin-0.0.4-r0.apk              25-Oct-2024 20:07               11614
py3-ovos-ocp-news-plugin-pyc-0.0.4-r0.apk          25-Oct-2024 20:07                9147
py3-ovos-ocp-rss-plugin-0.0.3-r0.apk               25-Oct-2024 20:07                8499
py3-ovos-ocp-rss-plugin-pyc-0.0.3-r0.apk           25-Oct-2024 20:07                3813
py3-ovos-phal-plugin-connectivity-events-0.1.1-..> 21-Nov-2024 13:31                8831
py3-ovos-phal-plugin-connectivity-events-pyc-0...> 21-Nov-2024 13:31                5138
py3-ovos-phal-plugin-ipgeo-0.0.2-r1.apk            25-Oct-2024 20:07                4633
py3-ovos-phal-plugin-ipgeo-pyc-0.0.2-r1.apk        25-Oct-2024 20:07                4474
py3-ovos-phal-plugin-network-manager-1.3.2-r0.apk  21-Nov-2024 13:31               97389
py3-ovos-phal-plugin-network-manager-pyc-1.3.2-..> 21-Nov-2024 13:31               11494
py3-ovos-phal-plugin-oauth-0.1.3-r0.apk            21-Nov-2024 13:31               12203
py3-ovos-phal-plugin-oauth-pyc-0.1.3-r0.apk        21-Nov-2024 13:31                9892
py3-ovos-phal-plugin-system-1.3.2-r0.apk           08-Apr-2025 07:43               11167
py3-ovos-phal-plugin-system-pyc-1.3.2-r0.apk       08-Apr-2025 07:43               10255
py3-ovos-plugin-manager-0.9.0-r0.apk               01-Jun-2025 16:49               95983
py3-ovos-plugin-manager-pyc-0.9.0-r0.apk           01-Jun-2025 16:49              185453
py3-ovos-stt-plugin-server-0.0.4_alpha4-r1.apk     25-Oct-2024 20:07               10327
py3-ovos-stt-plugin-server-pyc-0.0.4_alpha4-r1.apk 25-Oct-2024 20:07                6930
py3-ovos-translate-server-plugin-0.0.0-r0.apk      25-Oct-2024 20:07                8534
py3-ovos-translate-server-plugin-pyc-0.0.0-r0.apk  25-Oct-2024 20:07                4205
py3-ovos-tts-server-plugin-0.0.2_alpha13-r1.apk    25-Oct-2024 20:07                9741
py3-ovos-tts-server-plugin-pyc-0.0.2_alpha13-r1..> 25-Oct-2024 20:07                5574
py3-ovos-utils-0.5.4-r0.apk                        25-Nov-2024 12:41               70483
py3-ovos-utils-pyc-0.5.4-r0.apk                    25-Nov-2024 12:41              126874
py3-ovos-workshop-3.4.0-r0.apk                     26-May-2025 12:11               94384
py3-ovos-workshop-pyc-3.4.0-r0.apk                 26-May-2025 12:11              169632
py3-owslib-0.32.1-r0.apk                           25-Jan-2025 07:04              198401
py3-owslib-pyc-0.32.1-r0.apk                       25-Jan-2025 07:04              432949
py3-pacparser-1.4.5-r1.apk                         25-Oct-2024 20:07              400778
py3-pacparser-pyc-1.4.5-r1.apk                     25-Oct-2024 20:07                4134
py3-padacioso-0.2.1-r0.apk                         25-Oct-2024 20:07               11722
py3-padacioso-pyc-0.2.1-r0.apk                     25-Oct-2024 20:07               12570
py3-pam-2.0.2-r2.apk                               25-Oct-2024 20:07               11459
py3-pam-pyc-2.0.2-r2.apk                           25-Oct-2024 20:07               13273
py3-pathvalidate-3.2.3-r0.apk                      06-Jan-2025 10:40               19253
py3-pathvalidate-pyc-3.2.3-r0.apk                  06-Jan-2025 10:40               34129
py3-pbkdf2-1.3-r7.apk                              25-Oct-2024 20:07                6446
py3-pbkdf2-pyc-1.3-r7.apk                          25-Oct-2024 20:07                7244
py3-pdal-3.4.5-r0.apk                              05-Nov-2024 21:52              168659
py3-pdal-pyc-3.4.5-r0.apk                          05-Nov-2024 21:52               13351
py3-pelican-4.9.1-r2.apk                           25-Oct-2024 20:07              239326
py3-pelican-pyc-4.9.1-r2.apk                       25-Oct-2024 20:07              150835
py3-pep8-naming-0.14.1-r0.apk                      25-Oct-2024 20:07               10005
py3-pep8-naming-pyc-0.14.1-r0.apk                  25-Oct-2024 20:07               13512
py3-phpserialize-1.3-r8.apk                        25-Oct-2024 20:07                9064
py3-phpserialize-pyc-1.3-r8.apk                    25-Oct-2024 20:07               10953
py3-phx-class-registry-5.0.0-r0.apk                25-Oct-2024 20:07               13458
py3-phx-class-registry-doc-5.0.0-r0.apk            25-Oct-2024 20:07                2277
py3-phx-class-registry-pyc-5.0.0-r0.apk            25-Oct-2024 20:07               17825
py3-piccata-2.0.3-r1.apk                           25-Oct-2024 20:07               20561
py3-piccata-pyc-2.0.3-r1.apk                       25-Oct-2024 20:07               35040
py3-pickle-secure-0.99.9-r1.apk                    25-Oct-2024 20:07                7678
py3-pickle-secure-pyc-0.99.9-r1.apk                25-Oct-2024 20:07                5485
py3-pigpio-79-r4.apk                               25-Oct-2024 20:07               95381
py3-pika-1.3.2-r1.apk                              25-Oct-2024 20:07              146533
py3-pika-pyc-1.3.2-r1.apk                          25-Oct-2024 20:07              251784
py3-pillow_heif-0.18.0-r0.apk                      25-Oct-2024 20:07               41708
py3-pillow_heif-pyc-0.18.0-r0.apk                  25-Oct-2024 20:07               36389
py3-pip-system-certs-4.0-r1.apk                    25-Oct-2024 20:07                7154
py3-pip-system-certs-pyc-4.0-r1.apk                25-Oct-2024 20:07                4765
py3-piper-phonemize-2023.11.14.4-r8.apk            18-May-2025 15:55              142414
py3-piper-phonemize-pyc-2023.11.14.4-r8.apk        18-May-2025 15:55                3434
py3-playsound-1.3.0-r1.apk                         25-Oct-2024 20:07                7025
py3-playsound-pyc-1.3.0-r1.apk                     25-Oct-2024 20:07                8747
py3-plotly-5.24.1-r2.apk                           18-Apr-2025 22:10            21485087
py3-pltable-1.1.0-r1.apk                           13-Nov-2024 06:28               19024
py3-pltable-pyc-1.1.0-r1.apk                       13-Nov-2024 06:28               34279
py3-pockethernet-0.7.0-r4.apk                      25-Oct-2024 20:07               15138
py3-pockethernet-pyc-0.7.0-r4.apk                  25-Oct-2024 20:07               25810
py3-poetry-dynamic-versioning-1.8.2-r0.apk         29-Apr-2025 19:46               20831
py3-poetry-dynamic-versioning-pyc-1.8.2-r0.apk     29-Apr-2025 19:46               26965
py3-poppler-qt5-21.3.0-r2.apk                      29-Jan-2025 19:00              120340
py3-ppk2-api-0.9.2-r0.apk                          30-Nov-2024 20:52               16880
py3-ppk2-api-pyc-0.9.2-r0.apk                      30-Nov-2024 20:52               16910
py3-pprintpp-0.4.0-r1.apk                          25-Oct-2024 20:07               14238
py3-pprintpp-pyc-0.4.0-r1.apk                      25-Oct-2024 20:07               16666
py3-print-color-0.4.6-r0.apk                       25-Oct-2024 20:07                9073
py3-print-color-doc-0.4.6-r0.apk                   25-Oct-2024 20:07                2681
py3-print-color-pyc-0.4.6-r0.apk                   25-Oct-2024 20:07                5090
py3-proglog-0.1.10-r2.apk                          25-Oct-2024 20:07                7556
py3-proglog-pyc-0.1.10-r2.apk                      25-Oct-2024 20:07               10153
py3-protego-0.3.1-r0.apk                           30-Nov-2024 20:18                9563
py3-protego-pyc-0.3.1-r0.apk                       30-Nov-2024 20:18               11835
py3-proxmoxer-2.2.0-r0.apk                         16-Dec-2024 11:36               17258
py3-proxmoxer-pyc-2.2.0-r0.apk                     16-Dec-2024 11:36               28397
py3-pure_protobuf-3.1.2-r0.apk                     25-Oct-2024 20:07               21626
py3-pure_protobuf-pyc-3.1.2-r0.apk                 25-Oct-2024 20:07               38585
py3-py-radix-0.10.0-r10.apk                        25-Oct-2024 20:07               18864
py3-py-radix-pyc-0.10.0-r10.apk                    25-Oct-2024 20:07               10880
py3-pyatem-0.5.0-r4.apk                            25-Oct-2024 20:07               53267
py3-pyatem-pyc-0.5.0-r4.apk                        25-Oct-2024 20:07               93817
py3-pyautogui-0.9.53-r5.apk                        25-Oct-2024 20:07               35909
py3-pyautogui-pyc-0.9.53-r5.apk                    25-Oct-2024 20:07               46360
py3-pybars3-0.9.7-r6.apk                           25-Oct-2024 20:07               15200
py3-pybars3-pyc-0.9.7-r6.apk                       25-Oct-2024 20:07               17239
py3-pycaption-2.2.15-r0.apk                        25-Oct-2024 20:07              368715
py3-pycolorterm-0.2.1-r6.apk                       25-Oct-2024 20:07                5588
py3-pycolorterm-pyc-0.2.1-r6.apk                   25-Oct-2024 20:07                3816
py3-pycosat-0.6.6-r2.apk                           25-Oct-2024 20:07               45567
py3-pydes-2.0.1-r5.apk                             25-Oct-2024 20:07               10937
py3-pydes-doc-2.0.1-r5.apk                         25-Oct-2024 20:07                3732
py3-pydes-pyc-2.0.1-r5.apk                         25-Oct-2024 20:07               13489
py3-pygelbooru-0.5.0-r4.apk                        25-Oct-2024 20:07                8347
py3-pygelbooru-pyc-0.5.0-r4.apk                    25-Oct-2024 20:07               11749
py3-pygfm-2.0.0-r2.apk                             25-Oct-2024 20:07               13033
py3-pygfm-pyc-2.0.0-r2.apk                         25-Oct-2024 20:07               13521
py3-pyglet-2.1.0-r0.apk                            12-Jan-2025 09:01              891121
py3-pyglet-pyc-2.1.0-r0.apk                        12-Jan-2025 09:01             1656960
py3-pyglm-2.7.3-r0.apk                             06-Nov-2024 09:42             1496935
py3-pygpgme-0.3.1-r9.apk                           25-Oct-2024 20:07               35881
py3-pygpgme-pyc-0.3.1-r9.apk                       25-Oct-2024 20:07                5190
py3-pygtail-0.14.0-r3.apk                          25-Oct-2024 20:07               15343
py3-pygtail-pyc-0.14.0-r3.apk                      25-Oct-2024 20:07               10334
py3-pyinstaller-6.6.0-r0.apk                       25-Oct-2024 20:07             1976274
py3-pyinstaller-pyc-6.6.0-r0.apk                   25-Oct-2024 20:07              514304
py3-pyinstrument-5.0.2-r0.apk                      25-May-2025 05:30              111546
py3-pyinstrument-pyc-5.0.2-r0.apk                  25-May-2025 05:30              102451
py3-pyisbn-1.3.1-r3.apk                            25-Oct-2024 20:07               20939
py3-pyisbn-pyc-1.3.1-r3.apk                        25-Oct-2024 20:07                9636
py3-pylru-1.2.1-r1.apk                             25-Oct-2024 20:07               16965
py3-pylru-pyc-1.2.1-r1.apk                         25-Oct-2024 20:07                9160
py3-pymaging-0.0.20130908-r10.apk                  25-Oct-2024 20:07               18418
py3-pymaging-png-0.0.20130727-r10.apk              25-Oct-2024 20:07               35858
py3-pymaging-png-pyc-0.0.20130727-r10.apk          25-Oct-2024 20:07               53168
py3-pymaging-pyc-0.0.20130908-r10.apk              25-Oct-2024 20:07               32435
py3-pymata-2.20-r4.apk                             25-Oct-2024 20:07               23038
py3-pymata-pyc-2.20-r4.apk                         25-Oct-2024 20:07               30101
py3-pymata4-1.15-r4.apk                            25-Oct-2024 20:07               23599
py3-pymata4-pyc-1.15-r4.apk                        25-Oct-2024 20:07               31563
py3-pymeta3-0.5.1-r6.apk                           25-Oct-2024 20:07               17146
py3-pymeta3-pyc-0.5.1-r6.apk                       25-Oct-2024 20:07               32306
py3-pymsgbox-1.0.9-r5.apk                          25-Oct-2024 20:07                9380
py3-pymsgbox-pyc-1.0.9-r5.apk                      25-Oct-2024 20:07               10079
py3-pymsteams-0.2.5-r0.apk                         17-Feb-2025 12:07               12646
py3-pymsteams-pyc-0.2.5-r0.apk                     17-Feb-2025 12:07                6697
py3-pynest2d-5.2.2-r5.apk                          06-Feb-2025 04:42              249332
py3-pyparted-3.13.0-r1.apk                         25-Oct-2024 20:07               77445
py3-pyparted-pyc-3.13.0-r1.apk                     25-Oct-2024 20:07               43180
py3-pypubsub-4.0.3-r0.apk                          25-Oct-2024 20:07               53643
py3-pypubsub-doc-4.0.3-r0.apk                      25-Oct-2024 20:07                2190
py3-pypubsub-pyc-4.0.3-r0.apk                      25-Oct-2024 20:07               92069
py3-pyqrcode-1.2.1-r0.apk                          25-Oct-2024 20:07               37433
py3-pyqrcode-doc-1.2.1-r0.apk                      25-Oct-2024 20:07                4405
py3-pyqrcode-pyc-1.2.1-r0.apk                      25-Oct-2024 20:07               48408
py3-pyrebase-3.0.27-r5.apk                         25-Oct-2024 20:07                9854
py3-pyrebase-pyc-3.0.27-r5.apk                     25-Oct-2024 20:07               17978
py3-pyroma-4.2-r0.apk                              25-Oct-2024 20:07               22396
py3-pyroma-pyc-4.2-r0.apk                          25-Oct-2024 20:07               26575
py3-pyscreeze-0.1.29-r3.apk                        25-Oct-2024 20:07               14445
py3-pyscreeze-pyc-0.1.29-r3.apk                    25-Oct-2024 20:07               14529
py3-pysequoia-0.1.28-r0.apk                        14-May-2025 21:14             1200507
py3-pysequoia-pyc-0.1.28-r0.apk                    14-May-2025 21:14                1996
py3-pysimplesoap-1.16.2-r7.apk                     25-Oct-2024 20:07               44716
py3-pysimplesoap-pyc-1.16.2-r7.apk                 25-Oct-2024 20:07               80048
py3-pysonic-1.0.3-r0.apk                           16-Apr-2025 22:35               35595
py3-pysonic-pyc-1.0.3-r0.apk                       16-Apr-2025 22:35               33007
py3-pyspinel-1.0.3-r1.apk                          25-Oct-2024 20:07               57358
py3-pyspinel-pyc-1.0.3-r1.apk                      25-Oct-2024 20:07               64554
py3-pysrt-1.1.2-r5.apk                             14-May-2025 21:14               26093
py3-pysrt-pyc-1.1.2-r5.apk                         14-May-2025 21:14               23291
py3-pystache-0.6.5-r1.apk                          25-Oct-2024 20:07               69740
py3-pystache-pyc-0.6.5-r1.apk                      25-Oct-2024 20:07               98986
py3-pysubs2-1.8.0-r0.apk                           25-Dec-2024 22:09               36787
py3-pysubs2-pyc-1.8.0-r0.apk                       25-Dec-2024 22:09               69337
py3-pytaglib-3.0.0-r0.apk                          26-Jan-2025 20:17               39965
py3-pytaglib-pyc-3.0.0-r0.apk                      26-Jan-2025 20:17                2938
py3-pytap2-2.3.0-r0.apk                            25-Oct-2024 20:07                7137
py3-pytap2-doc-2.3.0-r0.apk                        25-Oct-2024 20:07                2862
py3-pytap2-pyc-2.3.0-r0.apk                        25-Oct-2024 20:07                6131
py3-pyte-0.8.2-r3.apk                              14-May-2025 21:14               30623
py3-pyte-pyc-0.8.2-r3.apk                          14-May-2025 21:14               40125
py3-pytest-datadir-1.6.1-r0.apk                    17-Apr-2025 18:04                6008
py3-pytest-datadir-pyc-1.6.1-r0.apk                17-Apr-2025 18:04                3768
py3-pytest-expect-1.1.0-r10.apk                    25-Oct-2024 20:07                6035
py3-pytest-expect-pyc-1.1.0-r10.apk                25-Oct-2024 20:07                7121
py3-pytest-home-0.6.0-r0.apk                       25-Oct-2024 20:07                4645
py3-pytest-home-pyc-0.6.0-r0.apk                   25-Oct-2024 20:07                2867
py3-pytest-html-4.1.1-r1.apk                       25-Oct-2024 20:07               22144
py3-pytest-html-pyc-4.1.1-r1.apk                   25-Oct-2024 20:07               22272
py3-pytest-metadata-3.1.1-r0.apk                   25-Oct-2024 20:07               10439
py3-pytest-metadata-pyc-3.1.1-r0.apk               25-Oct-2024 20:07                8086
py3-pytest-regtest-2.3.2-r1.apk                    17-Feb-2025 12:07               16199
py3-pytest-regtest-pyc-2.3.2-r1.apk                17-Feb-2025 12:07               30768
py3-pytest-subprocess-1.5.2-r0.apk                 25-Oct-2024 20:07               19976
py3-pytest-subprocess-pyc-1.5.2-r0.apk             25-Oct-2024 20:07               25103
py3-python-archive-0.2-r7.apk                      25-Oct-2024 20:07                7573
py3-python-archive-pyc-0.2-r7.apk                  25-Oct-2024 20:07                9573
py3-python-iptables-1.0.1-r1.apk                   25-Oct-2024 20:07               39434
py3-python-iptables-pyc-1.0.1-r1.apk               25-Oct-2024 20:07               69531
py3-python-jwt-4.1.0-r2.apk                        15-May-2025 21:22                8256
py3-python-jwt-pyc-4.1.0-r2.apk                    15-May-2025 21:22                6622
py3-python-logstash-0.4.8-r4.apk                   25-Oct-2024 20:07                8809
py3-python-logstash-doc-0.4.8-r4.apk               25-Oct-2024 20:07                2313
py3-python-logstash-pyc-0.4.8-r4.apk               25-Oct-2024 20:07                8616
py3-python-stdnum-1.20-r0.apk                      25-Oct-2024 20:07              825049
py3-python-stdnum-pyc-1.20-r0.apk                  25-Oct-2024 20:07              300540
py3-pyvcd-0.4.1-r0.apk                             11-Nov-2024 21:46               23214
py3-pyvcd-pyc-0.4.1-r0.apk                         11-Nov-2024 21:46               41423
py3-pyzor-1.0.0-r11.apk                            25-Oct-2024 20:07               41197
py3-pyzor-pyc-1.0.0-r11.apk                        25-Oct-2024 20:07               54988
py3-qasync-0.19.0-r2.apk                           25-Oct-2024 20:07               37750
py3-qpageview-0.6.2-r1.apk                         25-Oct-2024 20:07              100401
py3-qpageview-doc-0.6.2-r1.apk                     25-Oct-2024 20:07               57643
py3-qpageview-pyc-0.6.2-r1.apk                     25-Oct-2024 20:07              184552
py3-quebra-frases-0.3.7-r1.apk                     25-Oct-2024 20:07                9024
py3-quebra-frases-pyc-0.3.7-r1.apk                 25-Oct-2024 20:07                8002
py3-queuelib-1.7.0-r0.apk                          25-Oct-2024 20:07               13080
py3-queuelib-pyc-1.7.0-r0.apk                      25-Oct-2024 20:07               25385
py3-rabbit-1.1.0-r8.apk                            25-Oct-2024 20:07               11201
py3-rabbit-pyc-1.1.0-r8.apk                        25-Oct-2024 20:07               15859
py3-radon-6.0.1-r2.apk                             25-Oct-2024 20:07               32273
py3-radon-doc-6.0.1-r2.apk                         25-Oct-2024 20:07                5265
py3-radon-pyc-6.0.1-r2.apk                         25-Oct-2024 20:07               50757
py3-recommonmark-0.7.1-r4.apk                      25-Oct-2024 20:07               12025
py3-recommonmark-pyc-0.7.1-r4.apk                  25-Oct-2024 20:07               17927
py3-recurring-ical-events-3.7.0-r0.apk             22-Apr-2025 21:28               50188
py3-recurring-ical-events-pyc-3.7.0-r0.apk         22-Apr-2025 21:28               49637
py3-redmine-2.5.0-r0.apk                           25-Oct-2024 20:07               37664
py3-redmine-pyc-2.5.0-r0.apk                       25-Oct-2024 20:07               54877
py3-remind-0.19.2-r0.apk                           22-Apr-2025 21:28               25019
py3-remind-pyc-0.19.2-r0.apk                       22-Apr-2025 21:28               23065
py3-requests-cache-1.2.1-r1.apk                    19-Nov-2024 22:34               51130
py3-requests-cache-pyc-1.2.1-r1.apk                19-Nov-2024 22:34               96469
py3-requests-kerberos-0.15.0-r0.apk                01-Dec-2024 17:24               12388
py3-requests-kerberos-pyc-0.15.0-r0.apk            01-Dec-2024 17:24               11189
py3-requests-wsgi-adapter-0.4.1-r1.apk             25-Oct-2024 20:07                5643
py3-requests-wsgi-adapter-pyc-0.4.1-r1.apk         25-Oct-2024 20:07                6758
py3-rfc-bibtex-0.3.2-r7.apk                        25-Oct-2024 20:07               13176
py3-rfc-bibtex-pyc-0.3.2-r7.apk                    25-Oct-2024 20:07               12743
py3-rich-click-1.7.3-r1.apk                        25-Oct-2024 20:07               31469
py3-rich-click-pyc-1.7.3-r1.apk                    25-Oct-2024 20:07               41300
py3-riotctrl-0.5.0-r4.apk                          25-Oct-2024 20:07               13351
py3-riotctrl-pyc-0.5.0-r4.apk                      25-Oct-2024 20:07               10967
py3-rns-0.9.6-r0.apk                               27-May-2025 21:40              352344
py3-rns-pyc-0.9.6-r0.apk                           27-May-2025 21:40              720951
py3-rosdistro-0.9.0-r3.apk                         25-Oct-2024 20:07               48195
py3-rosdistro-pyc-0.9.0-r3.apk                     25-Oct-2024 20:07               93451
py3-rospkg-1.2.9-r5.apk                            25-Oct-2024 20:07               29493
py3-rospkg-pyc-1.2.9-r5.apk                        25-Oct-2024 20:07               55422
py3-rpio-0.10.1-r8.apk                             25-Oct-2024 20:07               36607
py3-rpio-pyc-0.10.1-r8.apk                         25-Oct-2024 20:07               16331
py3-rst-0.1-r9.apk                                 25-Oct-2024 20:07                5696
py3-rst-pyc-0.1-r9.apk                             25-Oct-2024 20:07                6257
py3-rst.linker-2.6.0-r0.apk                        25-Oct-2024 20:07                6239
py3-rst.linker-pyc-2.6.0-r0.apk                    25-Oct-2024 20:07                6805
py3-rst2ansi-0.1.5-r0.apk                          25-Oct-2024 20:07               12451
py3-rst2ansi-doc-0.1.5-r0.apk                      25-Oct-2024 20:07                2293
py3-rst2ansi-pyc-0.1.5-r0.apk                      25-Oct-2024 20:07               24545
py3-rtree-1.4.0-r2.apk                             31-May-2025 10:08               26860
py3-rtree-pyc-1.4.0-r2.apk                         31-May-2025 10:08               48605
py3-schema-0.7.5-r4.apk                            25-Oct-2024 20:07               18910
py3-schema-pyc-0.7.5-r4.apk                        25-Oct-2024 20:07               18752
py3-scour-0.38.2-r1.apk                            25-Oct-2024 20:07               57741
py3-scour-pyc-0.38.2-r1.apk                        25-Oct-2024 20:07               75542
py3-scrapy-2.11.1-r1.apk                           25-Oct-2024 20:07              245739
py3-scrapy-pyc-2.11.1-r1.apk                       25-Oct-2024 20:07              493583
py3-scs-3.2.3-r4.apk                               25-Oct-2024 20:07              103762
py3-scs-pyc-3.2.3-r4.apk                           25-Oct-2024 20:07                5043
py3-seqdiag-3.0.0-r5.apk                           25-Oct-2024 20:07             2581805
py3-seqdiag-pyc-3.0.0-r5.apk                       25-Oct-2024 20:07               43246
py3-setuptools-lint-0.6.0-r9.apk                   25-Oct-2024 20:07                5553
py3-setuptools-lint-pyc-0.6.0-r9.apk               25-Oct-2024 20:07                6148
py3-sh-2.1.0-r0.apk                                01-Nov-2024 15:13               38664
py3-sh-pyc-2.1.0-r0.apk                            01-Nov-2024 15:13               56172
py3-shodan-1.31.0-r1.apk                           25-Oct-2024 20:07               45152
py3-shodan-doc-1.31.0-r1.apk                       25-Oct-2024 20:07                7370
py3-shodan-pyc-1.31.0-r1.apk                       25-Oct-2024 20:07               81050
py3-simber-0.2.6-r5.apk                            14-May-2025 21:14               12171
py3-simber-pyc-0.2.6-r5.apk                        14-May-2025 21:14               16593
py3-simplematch-1.4-r1.apk                         25-Oct-2024 20:07                8192
py3-simplematch-pyc-1.4-r1.apk                     25-Oct-2024 20:07                5938
py3-simplesat-0.8.2-r0.apk                         25-Oct-2024 20:07              219161
py3-simplesat-pyc-0.8.2-r0.apk                     25-Oct-2024 20:07              160329
py3-simplesoapy-1.5.1-r7.apk                       25-Oct-2024 20:07                8051
py3-simplesoapy-pyc-1.5.1-r7.apk                   25-Oct-2024 20:07               12054
py3-simplespectral-1.0.0-r5.apk                    25-Oct-2024 20:07                7682
py3-simplespectral-pyc-1.0.0-r5.apk                25-Oct-2024 20:07                8276
py3-slidge-style-parser-0.1.9-r0.apk               12-Apr-2025 10:35              228656
py3-slidge-style-parser-pyc-0.1.9-r0.apk           12-Apr-2025 10:35                2014
py3-slixmpp-1.8.5-r2.apk                           25-Oct-2024 20:07              391111
py3-slixmpp-doc-1.8.5-r2.apk                       25-Oct-2024 20:07                5947
py3-slixmpp-pyc-1.8.5-r2.apk                       25-Oct-2024 20:07              746993
py3-snapshottest-0.6.0-r5.apk                      25-Oct-2024 20:07               15475
py3-snapshottest-pyc-0.6.0-r5.apk                  25-Oct-2024 20:07               26352
py3-soappy-0.52.30-r0.apk                          02-Dec-2024 22:54               48394
py3-soappy-pyc-0.52.30-r0.apk                      02-Dec-2024 22:54               97566
py3-soapy_power-1.6.1-r5.apk                       25-Oct-2024 20:07               17909
py3-soapy_power-pyc-1.6.1-r5.apk                   25-Oct-2024 20:07               27157
py3-sortedcollections-2.1.0-r5.apk                 25-Oct-2024 20:07               10913
py3-sortedcollections-pyc-2.1.0-r5.apk             25-Oct-2024 20:07               14202
py3-spake2-0.9-r0.apk                              25-Oct-2024 20:07               30866
py3-spake2-pyc-0.9-r0.apk                          25-Oct-2024 20:07               44555
py3-sphinx-argparse-0.5.2-r0.apk                   25-Oct-2024 20:07               14526
py3-sphinx-argparse-pyc-0.5.2-r0.apk               25-Oct-2024 20:07               22645
py3-sphinx-autoapi-3.6.0-r0.apk                    18-Feb-2025 22:39               31985
py3-sphinx-autoapi-pyc-3.6.0-r0.apk                18-Feb-2025 22:39               60258
py3-sphinx-theme-better-0.1.5-r7.apk               25-Oct-2024 20:07               10777
py3-sphinx-theme-better-pyc-0.1.5-r7.apk           25-Oct-2024 20:07                2031
py3-sphinx-theme-bootstrap-0.8.1-r4.apk            25-Oct-2024 20:07             1212513
py3-sphinx-theme-bootstrap-pyc-0.8.1-r4.apk        25-Oct-2024 20:07                2402
py3-sphinx-theme-bw-0.1.8-r7.apk                   25-Oct-2024 20:07               66313
py3-sphinx-theme-bw-pyc-0.1.8-r7.apk               25-Oct-2024 20:07                1878
py3-sphinx-theme-cloud-1.10.0-r2.apk               25-Oct-2024 20:07               83256
py3-sphinx-theme-cloud-pyc-1.10.0-r2.apk           25-Oct-2024 20:07               43799
py3-sphinx-theme-epfl-1.1.1-r9.apk                 25-Oct-2024 20:07               30569
py3-sphinx-theme-epfl-pyc-1.1.1-r9.apk             25-Oct-2024 20:07                2530
py3-sphinx-theme-guzzle-0.7.11-r7.apk              25-Oct-2024 20:07             2564871
py3-sphinx-theme-guzzle-pyc-0.7.11-r7.apk          25-Oct-2024 20:07                5645
py3-sphinx-theme-readable-1.3.0-r9.apk             25-Oct-2024 20:07                9029
py3-sphinx-theme-readable-pyc-1.3.0-r9.apk         25-Oct-2024 20:07                2262
py3-sphinxcontrib-actdiag-3.0.0-r4.apk             25-Oct-2024 20:07                7819
py3-sphinxcontrib-actdiag-pyc-3.0.0-r4.apk         25-Oct-2024 20:07                9499
py3-sphinxcontrib-adadomain-0.2-r9.apk             25-Oct-2024 20:07                9222
py3-sphinxcontrib-adadomain-pyc-0.2-r9.apk         25-Oct-2024 20:07               12266
py3-sphinxcontrib-bitbucket-1.0-r8.apk             25-Oct-2024 20:07                5808
py3-sphinxcontrib-bitbucket-pyc-1.0-r8.apk         25-Oct-2024 20:07                4182
py3-sphinxcontrib-blockdiag-3.0.0-r4.apk           25-Oct-2024 20:07                7726
py3-sphinxcontrib-blockdiag-pyc-3.0.0-r4.apk       25-Oct-2024 20:07                9369
py3-sphinxcontrib-cacoo-2.0.0-r7.apk               25-Oct-2024 20:07                5866
py3-sphinxcontrib-cacoo-pyc-2.0.0-r7.apk           25-Oct-2024 20:07                4351
py3-sphinxcontrib-cartouche-1.1.2-r7.apk           25-Oct-2024 20:07               18355
py3-sphinxcontrib-cartouche-pyc-1.1.2-r7.apk       25-Oct-2024 20:07               34307
py3-sphinxcontrib-doxylink-1.12.3-r0.apk           06-Dec-2024 22:57               12525
py3-sphinxcontrib-doxylink-pyc-1.12.3-r0.apk       06-Dec-2024 22:57               16774
py3-sphinxcontrib-fulltoc-1.2.0-r8.apk             25-Oct-2024 20:07                9336
py3-sphinxcontrib-fulltoc-pyc-1.2.0-r8.apk         25-Oct-2024 20:07                3562
py3-sphinxcontrib-gist-0.1.0-r9.apk                25-Oct-2024 20:07                4008
py3-sphinxcontrib-gist-pyc-0.1.0-r9.apk            25-Oct-2024 20:07                3409
py3-sphinxcontrib-git-11.0.0-r7.apk                25-Oct-2024 20:07               17538
py3-sphinxcontrib-git-pyc-11.0.0-r7.apk            25-Oct-2024 20:07                6781
py3-sphinxcontrib-gravatar-0.1.2-r8.apk            25-Oct-2024 20:07                8050
py3-sphinxcontrib-gravatar-pyc-0.1.2-r8.apk        25-Oct-2024 20:07                7805
py3-sphinxcontrib-htsql-0.1.5-r8.apk               25-Oct-2024 20:07               10807
py3-sphinxcontrib-htsql-pyc-0.1.5-r8.apk           25-Oct-2024 20:07               15395
py3-sphinxcontrib-httpdomain-1.8.1-r3.apk          25-Oct-2024 20:07               18531
py3-sphinxcontrib-httpdomain-lang-1.8.1-r3.apk     25-Oct-2024 20:07                4437
py3-sphinxcontrib-httpdomain-pyc-1.8.1-r3.apk      25-Oct-2024 20:07               34702
py3-sphinxcontrib-hydomain-0.1.0_git20230930-r1..> 25-Oct-2024 20:07               21880
py3-sphinxcontrib-hydomain-pyc-0.1.0_git2023093..> 25-Oct-2024 20:07               44888
py3-sphinxcontrib-inheritance-0.9.0-r9.apk         25-Oct-2024 20:07               10786
py3-sphinxcontrib-inheritance-pyc-0.9.0-r9.apk     25-Oct-2024 20:07               15441
py3-sphinxcontrib-issuetracker-0.11-r7.apk         25-Oct-2024 20:07               11361
py3-sphinxcontrib-issuetracker-pyc-0.11-r7.apk     25-Oct-2024 20:07               12231
py3-sphinxcontrib-lassodomain-0.4-r8.apk           25-Oct-2024 20:07                8109
py3-sphinxcontrib-lassodomain-pyc-0.4-r8.apk       25-Oct-2024 20:07               10850
py3-sphinxcontrib-manpage-0.6-r8.apk               25-Oct-2024 20:07                4235
py3-sphinxcontrib-manpage-pyc-0.6-r8.apk           25-Oct-2024 20:07                3223
py3-sphinxcontrib-mermaid-1.0.0-r0.apk             14-May-2025 21:14               10504
py3-sphinxcontrib-mermaid-pyc-1.0.0-r0.apk         14-May-2025 21:14               16414
py3-sphinxcontrib-nwdiag-2.0.0-r7.apk              25-Oct-2024 20:07                8923
py3-sphinxcontrib-nwdiag-pyc-2.0.0-r7.apk          25-Oct-2024 20:07               11460
py3-sphinxcontrib-phpdomain-0.12.0-r0.apk          25-Oct-2024 20:07               11750
py3-sphinxcontrib-phpdomain-pyc-0.12.0-r0.apk      25-Oct-2024 20:07               17373
py3-sphinxcontrib-programoutput-0.17-r5.apk        25-Oct-2024 20:07               16866
py3-sphinxcontrib-programoutput-pyc-0.17-r5.apk    25-Oct-2024 20:07               24422
py3-sphinxcontrib-restbuilder-0.3-r6.apk           25-Oct-2024 20:07               11596
py3-sphinxcontrib-restbuilder-pyc-0.3-r6.apk       25-Oct-2024 20:07               20991
py3-sphinxcontrib-seqdiag-3.0.0-r5.apk             25-Oct-2024 20:07                7833
py3-sphinxcontrib-seqdiag-pyc-3.0.0-r5.apk         25-Oct-2024 20:07                9520
py3-sphinxcontrib-slide-1.0.0-r4.apk               14-May-2025 21:14                4999
py3-sphinxcontrib-slide-pyc-1.0.0-r4.apk           14-May-2025 21:14                5694
py3-sphinxcontrib-spelling-8.0.0-r3.apk            25-Oct-2024 20:07               15714
py3-sphinxcontrib-spelling-pyc-8.0.0-r3.apk        25-Oct-2024 20:07               19360
py3-sphinxcontrib-sqltable-2.0.0-r8.apk            25-Oct-2024 20:07                7520
py3-sphinxcontrib-sqltable-pyc-2.0.0-r8.apk        25-Oct-2024 20:07                5047
py3-sphinxcontrib-textstyle-0.2.3-r8.apk           25-Oct-2024 20:07                6255
py3-sphinxcontrib-textstyle-pyc-0.2.3-r8.apk       25-Oct-2024 20:07                5789
py3-spidev-3.6-r1.apk                              25-Oct-2024 20:07               13821
py3-spin-0.8-r0.apk                                25-Oct-2024 20:07               18965
py3-spin-pyc-0.8-r0.apk                            25-Oct-2024 20:07               25076
py3-spinners-0.0.24-r5.apk                         25-Oct-2024 20:07                6237
py3-spinners-pyc-0.0.24-r5.apk                     25-Oct-2024 20:07                6401
py3-spnego-0.11.2-r0.apk                           16-Jan-2025 07:52              120737
py3-spnego-pyc-0.11.2-r0.apk                       16-Jan-2025 07:52              224331
py3-spotipy-2.24.0-r2.apk                          14-May-2025 21:14               30328
py3-spotipy-pyc-2.24.0-r2.apk                      14-May-2025 21:14               50705
py3-sqlmodel-0.0.22-r1.apk                         06-Dec-2024 23:03               26956
py3-sqlmodel-pyc-0.0.22-r1.apk                     06-Dec-2024 23:03               42169
py3-sssd-2.10.2-r1.apk                             21-Mar-2025 19:33               57662
py3-sssd-pyc-2.10.2-r1.apk                         21-Mar-2025 19:33               49743
py3-sstash-0.17-r9.apk                             25-Oct-2024 20:07                7910
py3-sstash-pyc-0.17-r9.apk                         25-Oct-2024 20:07               10535
py3-svgpath-6.3-r3.apk                             25-Oct-2024 20:07               17479
py3-svgpath-pyc-6.3-r3.apk                         25-Oct-2024 20:07               22167
py3-swagger-ui-bundle-1.1.0-r1.apk                 25-Oct-2024 20:07             2602511
py3-swagger-ui-bundle-pyc-1.1.0-r1.apk             25-Oct-2024 20:07                2155
py3-synapse-auto-accept-invite-1.2.0-r0.apk        25-Oct-2024 20:07               10074
py3-synapse-auto-accept-invite-pyc-1.2.0-r0.apk    25-Oct-2024 20:07                5734
py3-tailer-0.4.1-r7.apk                            25-Oct-2024 20:07                7033
py3-tailer-pyc-0.4.1-r7.apk                        25-Oct-2024 20:07                6791
py3-tasklib-2.5.1-r2.apk                           25-Oct-2024 20:07               23652
py3-tasklib-pyc-2.5.1-r2.apk                       25-Oct-2024 20:07               52764
py3-telegram-0.18.0-r3.apk                         25-Oct-2024 20:07               13921
py3-telegram-bot-21.10-r0.apk                      17-Feb-2025 12:07              465161
py3-telegram-bot-pyc-21.10-r0.apk                  17-Feb-2025 12:07              741056
py3-telegram-pyc-0.18.0-r3.apk                     25-Oct-2024 20:07               21406
py3-telegram-text-0.2.0-r1.apk                     25-Oct-2024 20:07                9412
py3-telegram-text-pyc-0.2.0-r1.apk                 25-Oct-2024 20:07               13261
py3-telemetrix-1.20-r3.apk                         25-Oct-2024 20:07               21442
py3-telemetrix-pyc-1.20-r3.apk                     25-Oct-2024 20:07               31787
py3-teletype-1.3.4-r3.apk                          25-Oct-2024 20:07               15778
py3-teletype-pyc-1.3.4-r3.apk                      25-Oct-2024 20:07               21016
py3-testresources-2.0.1-r6.apk                     25-Oct-2024 20:07               17765
py3-testresources-pyc-2.0.1-r6.apk                 25-Oct-2024 20:07               16335
py3-textual-3.2.0-r0.apk                           14-May-2025 21:14              610605
py3-textual-pyc-3.2.0-r0.apk                       14-May-2025 21:14             1216128
py3-tg-0.19.0-r5.apk                               25-Oct-2024 20:07               75122
py3-tg-pyc-0.19.0-r5.apk                           25-Oct-2024 20:07               82569
py3-thefuzz-0.22.1-r1.apk                          25-Oct-2024 20:07               10251
py3-thefuzz-pyc-0.22.1-r1.apk                      25-Oct-2024 20:07                9165
py3-ticket-auth-0.1.4-r9.apk                       25-Oct-2024 20:07                6094
py3-ticket-auth-pyc-0.1.4-r9.apk                   25-Oct-2024 20:07                6528
py3-tidalapi-0.7.4-r1.apk                          25-Oct-2024 20:07               36423
py3-tidalapi-pyc-0.7.4-r1.apk                      25-Oct-2024 20:07               63707
py3-timeago-1.0.16-r0.apk                          25-Oct-2024 20:07               24165
py3-timeago-doc-1.0.16-r0.apk                      25-Oct-2024 20:07                2917
py3-timeago-pyc-1.0.16-r0.apk                      25-Oct-2024 20:07               28323
py3-tls_parser-2.0.1-r1.apk                        25-Oct-2024 20:07                9402
py3-tls_parser-pyc-2.0.1-r1.apk                    25-Oct-2024 20:07               17841
py3-tlslite-ng-0.7.6-r8.apk                        25-Oct-2024 20:07              182786
py3-tlslite-ng-pyc-0.7.6-r8.apk                    25-Oct-2024 20:07              281365
py3-tokenizers-0.21.1-r0.apk                       29-Apr-2025 19:46             1718788
py3-tokenizers-pyc-0.21.1-r0.apk                   29-Apr-2025 19:46               29558
py3-tpm2-pytss-2.3.0-r1.apk                        25-Oct-2024 20:07              292629
py3-tpm2-pytss-pyc-2.3.0-r1.apk                    25-Oct-2024 20:07              241768
py3-transitions-0.9.2-r0.apk                       25-Oct-2024 20:07              100080
py3-transitions-pyc-0.9.2-r0.apk                   25-Oct-2024 20:07              131886
py3-translationstring-1.4-r4.apk                   25-Oct-2024 20:07                9372
py3-translationstring-pyc-1.4-r4.apk               25-Oct-2024 20:07                8977
py3-trivup-0.12.2-r2.apk                           25-Oct-2024 20:07               34838
py3-trivup-pyc-0.12.2-r2.apk                       25-Oct-2024 20:07               56224
py3-truststore-0.10.1-r0.apk                       10-Feb-2025 01:33               17908
py3-truststore-pyc-0.10.1-r0.apk                   10-Feb-2025 01:33               26120
py3-twiggy-0.5.1-r4.apk                            25-Oct-2024 20:07               24708
py3-twiggy-pyc-0.5.1-r4.apk                        25-Oct-2024 20:07               39608
py3-typing_inspect-0.9.0-r2.apk                    25-Oct-2024 20:07               10150
py3-typing_inspect-pyc-0.9.0-r2.apk                25-Oct-2024 20:07               14567
py3-u-msgpack-2.8.0-r2.apk                         25-Oct-2024 20:07               11171
py3-u-msgpack-pyc-2.8.0-r2.apk                     25-Oct-2024 20:07               16624
py3-uacme-desec-1.2.1-r0.apk                       25-Oct-2024 20:07                5839
py3-uacme-desec-doc-1.2.1-r0.apk                   25-Oct-2024 20:07                2246
py3-uacme-desec-pyc-1.2.1-r0.apk                   25-Oct-2024 20:07                6935
py3-uc-micro-py-1.0.2-r1.apk                       25-Oct-2024 20:07                9282
py3-unearth-0.17.5-r0.apk                          11-Apr-2025 05:33               41329
py3-unearth-pyc-0.17.5-r0.apk                      11-Apr-2025 05:33               83527
py3-unicorn-hat-2.1.2-r6.apk                       06-Nov-2024 09:42               16003
py3-unicrypto-0.0.10-r3.apk                        29-May-2025 11:57               60411
py3-unicrypto-pyc-0.0.10-r3.apk                    29-May-2025 11:57               94615
py3-unidns-0.0.1-r3.apk                            29-May-2025 11:57               13557
py3-unidns-examples-0.0.1-r3.apk                   29-May-2025 11:57                2666
py3-unidns-pyc-0.0.1-r3.apk                        29-May-2025 11:57               21876
py3-uptime-3.0.1-r9.apk                            25-Oct-2024 20:07                9985
py3-uptime-pyc-3.0.1-r9.apk                        25-Oct-2024 20:07                8908
py3-urlobject-2.4.3-r9.apk                         25-Oct-2024 20:07               15115
py3-urlobject-pyc-2.4.3-r9.apk                     25-Oct-2024 20:07               25270
py3-us-3.2.0-r0.apk                                25-Oct-2024 20:07               14663
py3-us-pyc-3.2.0-r0.apk                            25-Oct-2024 20:07               15558
py3-utc-0.0.3-r9.apk                               25-Oct-2024 20:07                3555
py3-utc-pyc-0.0.3-r9.apk                           25-Oct-2024 20:07                2826
py3-vatnumber-1.2-r9.apk                           25-Oct-2024 20:07               19072
py3-vatnumber-pyc-1.2-r9.apk                       25-Oct-2024 20:07                8831
py3-vdf-3.4-r1.apk                                 25-Oct-2024 20:07               11596
py3-vdf-pyc-3.4-r1.apk                             25-Oct-2024 20:07               16952
py3-venusian-3.1.1-r0.apk                          07-Dec-2024 21:14               14698
py3-venusian-pyc-3.1.1-r0.apk                      07-Dec-2024 21:14               12798
py3-virtualenvwrapper-6.1.0-r1.apk                 25-Oct-2024 20:07               22406
py3-virtualenvwrapper-pyc-6.1.0-r1.apk             25-Oct-2024 20:07               11994
py3-visitor-0.1.3-r7.apk                           25-Oct-2024 20:07                4733
py3-visitor-pyc-0.1.3-r7.apk                       25-Oct-2024 20:07                2687
py3-ward-0.67.0_beta0-r2.apk                       25-Oct-2024 20:07               41683
py3-ward-pyc-0.67.0_beta0-r2.apk                   25-Oct-2024 20:07               81465
py3-wbdata-1.0.0-r1.apk                            25-Oct-2024 20:07               18935
py3-wbdata-pyc-1.0.0-r1.apk                        25-Oct-2024 20:07               20044
py3-wg-netns-2.3.1-r1.apk                          25-Oct-2024 20:07                7747
py3-wg-netns-pyc-2.3.1-r1.apk                      25-Oct-2024 20:07               13710
py3-wgconfig-1.1.0-r0.apk                          29-Jan-2025 06:49               22496
py3-wgconfig-pyc-1.1.0-r0.apk                      29-Jan-2025 06:49               11979
py3-wifi-0.3.8-r7.apk                              25-Oct-2024 20:07               13323
py3-wifi-pyc-0.3.8-r7.apk                          25-Oct-2024 20:07               14065
py3-winacl-0.1.9-r1.apk                            29-May-2025 11:57               84668
py3-winacl-pyc-0.1.9-r1.apk                        29-May-2025 11:57              134392
py3-wsgiprox-1.5.2-r1.apk                          25-Oct-2024 20:07               17081
py3-wsgiprox-pyc-1.5.2-r1.apk                      25-Oct-2024 20:07               28844
py3-wstools-0.4.10-r7.apk                          25-Oct-2024 20:07               54482
py3-wstools-pyc-0.4.10-r7.apk                      25-Oct-2024 20:07              113412
py3-wtf-peewee-3.0.6-r0.apk                        25-Oct-2024 20:07               13022
py3-wtf-peewee-pyc-3.0.6-r0.apk                    25-Oct-2024 20:07               25248
py3-x-wr-timezone-2.0.1-r0.apk                     09-Feb-2025 15:13               11932
py3-x-wr-timezone-pyc-2.0.1-r0.apk                 09-Feb-2025 15:13                7270
py3-xapp-2.4.2-r0.apk                              12-Nov-2024 11:04               34418
py3-xdoctest-1.2.0-r0.apk                          21-Nov-2024 13:31              319995
py3-xlwt-1.3.0-r10.apk                             14-May-2025 21:14               95931
py3-xlwt-pyc-1.3.0-r10.apk                         14-May-2025 21:14              168847
py3-xsdata-25.4-r0.apk                             14-Apr-2025 09:33              194233
py3-xsdata-pyc-25.4-r0.apk                         14-Apr-2025 09:33              402078
py3-yapsy-1.12.2-r7.apk                            25-Oct-2024 20:07               33016
py3-yapsy-pyc-1.12.2-r7.apk                        25-Oct-2024 20:07               48271
py3-yara-4.5.1-r0.apk                              25-Oct-2024 20:07               17681
py3-yosys-0.42-r0.apk                              25-Oct-2024 20:07                1850
py3-youtube-search-1.6.6-r5.apk                    14-May-2025 21:14               79953
py3-youtube-search-pyc-1.6.6-r5.apk                14-May-2025 21:14               97590
py3-zimscraperlib-3.4.0-r0.apk                     06-Nov-2024 09:42               52855
py3-zimscraperlib-pyc-3.4.0-r0.apk                 06-Nov-2024 09:42               70010
py3-zipfile2-0.0.12-r0.apk                         25-Oct-2024 20:07               46237
py3-zipfile2-pyc-0.0.12-r0.apk                     25-Oct-2024 20:07               29623
py3-zope-configuration-5.0.1-r2.apk                25-Oct-2024 20:07               40076
py3-zope-configuration-pyc-5.0.1-r2.apk            25-Oct-2024 20:07               49688
py3-zope-i18nmessageid-6.1.0-r2.apk                25-Oct-2024 20:07               15708
py3-zope-i18nmessageid-pyc-6.1.0-r2.apk            25-Oct-2024 20:07                8181
py3-zope-schema-7.0.1-r3.apk                       25-Oct-2024 20:07               46345
py3-zope-schema-pyc-7.0.1-r3.apk                   25-Oct-2024 20:07               62537
pyinfra-3.2-r0.apk                                 25-Jan-2025 07:04              190423
pyinfra-pyc-3.2-r0.apk                             25-Jan-2025 07:04              357057
pympress-1.8.5-r1.apk                              25-Oct-2024 20:07              185529
pympress-doc-1.8.5-r1.apk                          25-Oct-2024 20:07              356781
pympress-lang-1.8.5-r1.apk                         25-Oct-2024 20:07               57644
pympress-pyc-1.8.5-r1.apk                          25-Oct-2024 20:07              186564
pyonji-0.1.0-r5.apk                                14-May-2025 21:14             2961706
pypykatz-0.6.11-r1.apk                             29-May-2025 11:57              322959
pypykatz-pyc-0.6.11-r1.apk                         29-May-2025 11:57              738434
pyradio-0.9.3.11-r0.apk                            25-Oct-2024 20:07              891700
pyradio-doc-0.9.3.11-r0.apk                        25-Oct-2024 20:07              115356
pyradio-pyc-0.9.3.11-r0.apk                        25-Oct-2024 20:07              829773
qadwaitadecorations-0.1.6-r0.apk                   14-May-2025 21:14               44963
qbittorrent-cli-2.2.0-r0.apk                       16-May-2025 14:55             5867690
qdjango-0.6.2-r1.apk                               25-Oct-2024 20:07               94766
qdjango-dev-0.6.2-r1.apk                           25-Oct-2024 20:07               14392
qflipper-1.3.3-r1.apk                              25-Oct-2024 20:07              453246
qflipper-gui-1.3.3-r1.apk                          25-Oct-2024 20:07             1087800
qoi-0.0.0_git20230312-r0.apk                       25-Oct-2024 20:07                1500
qoi-dev-0.0.0_git20230312-r0.apk                   25-Oct-2024 20:07                7000
qoiconv-0.0.0_git20230312-r0.apk                   25-Oct-2024 20:07               28706
qpdfview-0.5-r2.apk                                29-Jan-2025 19:00             1009064
qpdfview-doc-0.5-r2.apk                            29-Jan-2025 19:00                4348
qperf-0.4.11-r2.apk                                14-May-2025 21:14               33574
qperf-doc-0.4.11-r2.apk                            14-May-2025 21:14                5699
qqc2-suru-style-0.20230206-r1.apk                  25-Oct-2024 20:07              175325
qsstv-9.5.8-r2.apk                                 25-Oct-2024 20:07              891653
qstardict-2.0.2-r1.apk                             24-Nov-2024 03:04              448411
qstardict-doc-2.0.2-r1.apk                         24-Nov-2024 03:04               10895
qsynth-1.0.2-r0.apk                                25-Oct-2024 20:07              427556
qsynth-doc-1.0.2-r0.apk                            25-Oct-2024 20:07                4471
qt5ct-1.8-r0.apk                                   25-Oct-2024 20:07              223263
qt5ct-dev-1.8-r0.apk                               25-Oct-2024 20:07                1523
qt6ct-0.9-r3.apk                                   09-Dec-2024 19:40              193513
qtile-0.30.0-r0.apk                                10-Feb-2025 21:13              446272
qtile-pyc-0.30.0-r0.apk                            10-Feb-2025 21:13              857514
qtpass-1.4.0-r0.apk                                25-Oct-2024 20:07              427206
qtpass-doc-1.4.0-r0.apk                            25-Oct-2024 20:07                2172
quakespasm-0.96.3-r0.apk                           25-Oct-2024 20:07              476719
qucs-s-1.1.0-r1.apk                                25-Oct-2024 20:07             3321947
qucs-s-doc-1.1.0-r1.apk                            25-Oct-2024 20:07                2394
qucs-s-lang-1.1.0-r1.apk                           25-Oct-2024 20:07              885278
queercat-1.0.0-r0.apk                              25-Oct-2024 20:07                8203
quickjs-ng-0.10.1-r0.apk                           16-May-2025 17:22               77709
quickjs-ng-dev-0.10.1-r0.apk                       16-May-2025 17:22               14593
quickjs-ng-doc-0.10.1-r0.apk                       16-May-2025 17:22                6444
quickjs-ng-libs-0.10.1-r0.apk                      16-May-2025 17:22              322691
quodlibet-4.6.0-r2.apk                             14-May-2025 21:14             1083449
quodlibet-bash-completion-4.6.0-r2.apk             14-May-2025 21:14                4780
quodlibet-doc-4.6.0-r2.apk                         14-May-2025 21:14                8887
quodlibet-lang-4.6.0-r2.apk                        14-May-2025 21:14             1229916
quodlibet-pyc-4.6.0-r2.apk                         14-May-2025 21:14             1891653
quodlibet-zsh-completion-4.6.0-r2.apk              14-May-2025 21:14                2778
randrctl-1.10.0-r0.apk                             19-Nov-2024 22:34               28597
randrctl-pyc-1.10.0-r0.apk                         19-Nov-2024 22:34               31937
rankwidth-0.9-r3.apk                               25-Oct-2024 20:07                5242
rankwidth-dev-0.9-r3.apk                           25-Oct-2024 20:07                2988
rankwidth-doc-0.9-r3.apk                           25-Oct-2024 20:07                3079
rankwidth-libs-0.9-r3.apk                          25-Oct-2024 20:07                4919
rankwidth-static-0.9-r3.apk                        25-Oct-2024 20:07                4106
raspberrypi-usbboot-20210701-r3.apk                25-Oct-2024 20:07             1524412
raspi2png-0.0.20190727-r0.apk                      25-Oct-2024 20:07                6317
rathole-0.5.0-r0.apk                               25-Oct-2024 20:07             1506306
rattler-build-0.18.0-r0.apk                        25-Oct-2024 20:07             6283766
rattler-build-bash-completion-0.18.0-r0.apk        25-Oct-2024 20:07                3704
rattler-build-doc-0.18.0-r0.apk                    25-Oct-2024 20:07                6885
rattler-build-fish-completion-0.18.0-r0.apk        25-Oct-2024 20:07                4830
rattler-build-zsh-completion-0.18.0-r0.apk         25-Oct-2024 20:07                5569
rauc-1.10.1-r0.apk                                 25-Oct-2024 20:07              155254
rauc-doc-1.10.1-r0.apk                             25-Oct-2024 20:07                4243
rauc-service-1.10.1-r0.apk                         25-Oct-2024 20:07                3811
razercfg-0.42-r7.apk                               25-Oct-2024 20:07               73474
razercfg-gui-0.42-r7.apk                           25-Oct-2024 20:07               19430
razercfg-openrc-0.42-r7.apk                        25-Oct-2024 20:07                1763
razercfg-pyc-0.42-r7.apk                           25-Oct-2024 20:07               36477
rclone-browser-1.8.0-r1.apk                        25-Oct-2024 20:07              326215
rdedup-3.2.1-r5.apk                                25-Oct-2024 20:07              850981
rdrview-0.1.3-r0.apk                               22-Feb-2025 19:23               26532
rdrview-doc-0.1.3-r0.apk                           22-Feb-2025 19:23                3782
reaction-1.4.1-r6.apk                              14-May-2025 21:14             2142031
reaction-openrc-1.4.1-r6.apk                       14-May-2025 21:14                1878
reaction-tools-1.4.1-r6.apk                        14-May-2025 21:14               20366
readosm-1.1.0-r3.apk                               14-May-2025 21:14               15199
readosm-dev-1.1.0-r3.apk                           14-May-2025 21:14               19761
reason-3.8.2-r1.apk                                25-Oct-2024 20:07            19246403
reason-rtop-3.8.2-r1.apk                           25-Oct-2024 20:07            25446720
reaver-wps-fork-t6x-1.6.6-r1.apk                   25-Oct-2024 20:07              380237
recoll-1.37.5-r1.apk                               25-Oct-2024 20:07             2827010
recoll-dev-1.37.5-r1.apk                           25-Oct-2024 20:07               54326
recoll-doc-1.37.5-r1.apk                           25-Oct-2024 20:07               21645
redhat-fonts-4.1.0-r0.apk                          19-Mar-2025 11:44              828432
refine-0.5.9-r0.apk                                23-May-2025 19:15               34107
refine-lang-0.5.9-r0.apk                           23-May-2025 19:15               32116
reg-0.16.1-r28.apk                                 14-May-2025 21:14             4741124
regal-0.33.1-r0.apk                                14-May-2025 21:14            12090977
regal-bash-completion-0.33.1-r0.apk                14-May-2025 21:14                6239
regal-fish-completion-0.33.1-r0.apk                14-May-2025 21:14                4416
regal-zsh-completion-0.33.1-r0.apk                 14-May-2025 21:14                4132
regclient-0.8.2-r2.apk                             14-May-2025 21:14            13751536
remake-1.5-r1.apk                                  25-Oct-2024 20:07              140543
remake-dev-1.5-r1.apk                              25-Oct-2024 20:07                2997
remake-doc-1.5-r1.apk                              25-Oct-2024 20:07              206492
remake-make-1.5-r1.apk                             25-Oct-2024 20:07                1570
remco-0.12.5-r0.apk                                28-May-2025 08:00             9858046
remco-doc-0.12.5-r0.apk                            28-May-2025 08:00                2307
remco-openrc-0.12.5-r0.apk                         28-May-2025 08:00                1734
remind-caldav-0.8.0-r4.apk                         25-Oct-2024 20:07               18108
remind-caldav-pyc-0.8.0-r4.apk                     25-Oct-2024 20:07                6288
repgrep-0.15.0-r0.apk                              25-Oct-2024 20:07             1254671
repgrep-bash-completion-0.15.0-r0.apk              25-Oct-2024 20:07                1652
repgrep-doc-0.15.0-r0.apk                          25-Oct-2024 20:07                6630
repgrep-fish-completion-0.15.0-r0.apk              25-Oct-2024 20:07                4223
repgrep-zsh-completion-0.15.0-r0.apk               25-Oct-2024 20:07                1660
repo-2.53-r0.apk                                   19-Mar-2025 17:51               17189
repo-doc-2.53-r0.apk                               19-Mar-2025 17:51               39382
repowerd-2023.07-r3.apk                            17-Feb-2025 12:07              858334
repowerd-openrc-2023.07-r3.apk                     17-Feb-2025 12:07                1712
reprotest-0.7.29-r0.apk                            23-Dec-2024 04:07               82100
reprotest-pyc-0.7.29-r0.apk                        23-Dec-2024 04:07              105394
reredirect-0.3-r0.apk                              25-Oct-2024 20:07                7875
reredirect-doc-0.3-r0.apk                          25-Oct-2024 20:07                2908
resources-1.8.0-r1.apk                             14-May-2025 21:14             2515922
resources-lang-1.8.0-r1.apk                        14-May-2025 21:14              128604
responder-3.1.5.0-r0.apk                           25-Oct-2024 20:07              767988
restart-services-0.17.0-r0.apk                     25-Oct-2024 20:07               11788
restart-services-doc-0.17.0-r0.apk                 25-Oct-2024 20:07                6024
restic.mk-0.4.0-r0.apk                             21-Nov-2024 03:03                2913
restinio-0.6.19-r1.apk                             14-Dec-2024 18:56                1261
restinio-dev-0.6.19-r1.apk                         14-Dec-2024 18:56              274277
rezolus-2.11.1-r3.apk                              25-Oct-2024 20:07              909078
rezolus-doc-2.11.1-r3.apk                          25-Oct-2024 20:07                3439
rezolus-openrc-2.11.1-r3.apk                       25-Oct-2024 20:07                2121
rgxg-0.1.2-r2.apk                                  25-Oct-2024 20:07               14864
rgxg-dev-0.1.2-r2.apk                              25-Oct-2024 20:07                3608
rgxg-doc-0.1.2-r2.apk                              25-Oct-2024 20:07               12612
rhasspy-nlu-0.4.0-r3.apk                           25-Oct-2024 20:07               44633
rhasspy-nlu-pyc-0.4.0-r3.apk                       25-Oct-2024 20:07               74613
riemann-cli-0.8.0-r2.apk                           25-Oct-2024 20:07              543190
rinetd-0.73-r0.apk                                 25-Oct-2024 20:07               13950
rinetd-doc-0.73-r0.apk                             25-Oct-2024 20:07               16819
rinetd-openrc-0.73-r0.apk                          25-Oct-2024 20:07                1731
rio-0.2.16-r0.apk                                  16-May-2025 14:52            10695445
rio-doc-0.2.16-r0.apk                              16-May-2025 14:52                2338
rio-terminfo-0.2.16-r0.apk                         16-May-2025 14:52                3496
river-luatile-0.1.4-r0.apk                         19-May-2025 12:03              267070
river-shifttags-0.2.1-r1.apk                       14-May-2025 21:14                6291
river-shifttags-doc-0.2.1-r1.apk                   14-May-2025 21:14                2425
rizin-0.6.3-r2.apk                                 14-May-2025 21:14             2771872
rizin-dev-0.6.3-r2.apk                             14-May-2025 21:14              305452
rizin-doc-0.6.3-r2.apk                             14-May-2025 21:14               17854
rizin-libs-0.6.3-r2.apk                            14-May-2025 21:14             4153238
rkdeveloptool-1.1.0-r1.apk                         25-Oct-2024 20:07               59749
rkdeveloptool-doc-1.1.0-r1.apk                     25-Oct-2024 20:07                3025
rke-1.4.3-r15.apk                                  14-May-2025 21:14            20561679
rke-doc-1.4.3-r15.apk                              14-May-2025 21:14                3031
rmlint-2.10.2-r2.apk                               25-Oct-2024 20:07              144327
rmlint-doc-2.10.2-r2.apk                           25-Oct-2024 20:07               18236
rmlint-lang-2.10.2-r2.apk                          25-Oct-2024 20:07               19353
rmlint-shredder-2.10.2-r2.apk                      25-Oct-2024 20:07               98266
rmlint-shredder-pyc-2.10.2-r2.apk                  25-Oct-2024 20:07              127483
rofi-json-menu-0.2.0-r1.apk                        25-Oct-2024 20:07                4993
rofi-pass-2.0.2-r2.apk                             25-Oct-2024 20:07                9056
rofi-pass-doc-2.0.2-r2.apk                         25-Oct-2024 20:07                5073
roll-2.6.1-r0.apk                                  26-May-2025 23:03               12603
roll-bash-completion-2.6.1-r0.apk                  26-May-2025 23:03                1920
roll-doc-2.6.1-r0.apk                              26-May-2025 23:03               11602
rosdep-0.19.0-r6.apk                               25-Oct-2024 20:07               67719
rosdep-pyc-0.19.0-r6.apk                           25-Oct-2024 20:07              121851
rpg-cli-1.2.0-r0.apk                               25-Oct-2024 20:07              619184
rpi-imager-1.9.0-r0.apk                            25-Oct-2024 20:07              708160
rpi-imager-doc-1.9.0-r0.apk                        25-Oct-2024 20:07                3145
rpicam-apps-1.5.3-r0.apk                           25-Jan-2025 07:04              430101
rpicam-apps-doc-1.5.3-r0.apk                       25-Jan-2025 07:04                2368
rss-email-0.5.0-r0.apk                             25-Oct-2024 20:07             2130382
rss-email-doc-0.5.0-r0.apk                         25-Oct-2024 20:07                6587
rsstail-2.2-r0.apk                                 13-Feb-2025 07:17                8614
rsstail-doc-2.2-r0.apk                             13-Feb-2025 07:17                2820
rtaudio-6.0.1-r0.apk                               17-Apr-2025 22:52               38938
rtaudio-dev-6.0.1-r0.apk                           17-Apr-2025 22:52               67084
rtaudio-doc-6.0.1-r0.apk                           17-Apr-2025 22:52              198620
rtl-power-fftw-20200601-r4.apk                     25-Oct-2024 20:07               61147
rtl-power-fftw-doc-20200601-r4.apk                 25-Oct-2024 20:07                8352
rtl88x2bu-src-5.13.1_git20230711-r0.apk            25-Oct-2024 20:07             4189206
rtmidi-6.0.0-r0.apk                                25-Oct-2024 20:07               29434
rtmidi-dev-6.0.0-r0.apk                            25-Oct-2024 20:07               14433
rtptools-1.22-r2.apk                               25-Oct-2024 20:07               40888
rtptools-doc-1.22-r2.apk                           25-Oct-2024 20:07               12916
rtw89-src-7_p20230725-r0.apk                       25-Oct-2024 20:07              776949
ruby-appraisal-2.5.0-r0.apk                        25-May-2025 23:52               11129
ruby-appraisal-doc-2.5.0-r0.apk                    25-May-2025 23:52                2366
ruby-benchmark-ips-2.14.0-r0.apk                   25-May-2025 23:54               14680
ruby-benchmark-ips-doc-2.14.0-r0.apk               25-May-2025 23:54                2321
ruby-build-20250507-r0.apk                         15-May-2025 13:21               93586
ruby-build-doc-20250507-r0.apk                     15-May-2025 13:21                4907
ruby-build-runtime-20250507-r0.apk                 15-May-2025 13:21                1344
ruby-byebug-11.1.3-r0.apk                          25-May-2025 23:55              124419
ruby-coderay-1.1.3-r0.apk                          25-May-2025 23:56               91205
ruby-coderay-doc-1.1.3-r0.apk                      25-May-2025 23:56                2342
ruby-dry-inflector-1.1.0-r1.apk                    23-Apr-2025 20:24                8433
ruby-dry-inflector-doc-1.1.0-r1.apk                23-Apr-2025 20:24                2314
ruby-event_emitter-0.2.6-r0.apk                    26-May-2025 00:00                3150
ruby-event_emitter-doc-0.2.6-r0.apk                26-May-2025 00:00                2321
ruby-facter-4.9.0-r1.apk                           23-Apr-2025 20:24              223390
ruby-fast_gettext-3.1.0-r0.apk                     25-May-2025 23:58               22669
ruby-hashdiff-1.1.1-r1.apk                         23-Apr-2025 20:24                8621
ruby-hashdiff-doc-1.1.1-r1.apk                     23-Apr-2025 20:24                2300
ruby-libguestfs-1.52.0-r1.apk                      25-Oct-2024 20:07              108788
ruff-lsp-0.0.62-r0.apk                             06-Mar-2025 22:31               21785
ruff-lsp-pyc-0.0.62-r0.apk                         06-Mar-2025 22:31               35823
runst-0.1.7-r0.apk                                 25-Oct-2024 20:07             1684863
runst-doc-0.1.7-r0.apk                             25-Oct-2024 20:07                7876
rustdesk-server-1.1.10.3-r0.apk                    25-Oct-2024 20:07             2531529
rustdesk-server-openrc-1.1.10.3-r0.apk             25-Oct-2024 20:07                2276
rustic-0.9.3-r0.apk                                25-Oct-2024 20:07             6512452
rustic-bash-completion-0.9.3-r0.apk                25-Oct-2024 20:07                8817
rustic-fish-completion-0.9.3-r0.apk                25-Oct-2024 20:07               17070
rustic-zsh-completion-0.9.3-r0.apk                 25-Oct-2024 20:07               13343
ruuvi-prometheus-0.1.9-r2.apk                      14-May-2025 21:14             3462600
ruuvi-prometheus-openrc-0.1.9-r2.apk               14-May-2025 21:14                1717
rvlprog-0.91-r2.apk                                25-Oct-2024 20:07               25473
ry-0.5.2-r1.apk                                    25-Oct-2024 20:07                4734
ry-bash-completion-0.5.2-r1.apk                    25-Oct-2024 20:07                2001
ry-zsh-completion-0.5.2-r1.apk                     25-Oct-2024 20:07                2311
rygel-0.44.2-r0.apk                                19-Mar-2025 12:51              761574
rygel-dev-0.44.2-r0.apk                            19-Mar-2025 12:51               43621
rygel-doc-0.44.2-r0.apk                            19-Mar-2025 12:51                9845
rygel-lang-0.44.2-r0.apk                           19-Mar-2025 12:51              580776
s-dkim-sign-0.6.2-r0.apk                           25-Oct-2024 20:07               53571
s-dkim-sign-doc-0.6.2-r0.apk                       25-Oct-2024 20:07                8726
s-postgray-0.8.3-r0.apk                            25-Oct-2024 20:07               43722
s-postgray-doc-0.8.3-r0.apk                        25-Oct-2024 20:07                9815
s5cmd-2.3.0-r3.apk                                 14-May-2025 21:14             5344225
saait-0.8-r0.apk                                   25-Oct-2024 20:07                7282
saait-doc-0.8-r0.apk                               25-Oct-2024 20:07               13009
sacc-1.07-r0.apk                                   25-Oct-2024 20:07               15261
sacc-doc-1.07-r0.apk                               25-Oct-2024 20:07                2911
sandbar-0.1-r1.apk                                 14-May-2025 21:14               14856
satellite-1.0.0-r28.apk                            14-May-2025 21:14             2317032
satellite-doc-1.0.0-r28.apk                        14-May-2025 21:14                3104
satellite-openrc-1.0.0-r28.apk                     14-May-2025 21:14                1953
sauerbraten-2020.12.29-r4.apk                      20-Feb-2025 18:49           979077497
sbase-0_git20210730-r3.apk                         25-Oct-2024 20:07              122973
sbase-doc-0_git20210730-r3.apk                     25-Oct-2024 20:07               59637
sblg-0.5.11-r0.apk                                 25-Oct-2024 20:07               37401
sblg-doc-0.5.11-r0.apk                             25-Oct-2024 20:07             1410347
sblim-sfcc-2.2.8-r3.apk                            25-Oct-2024 20:07               52397
sblim-sfcc-dev-2.2.8-r3.apk                        25-Oct-2024 20:07               22793
sblim-sfcc-doc-2.2.8-r3.apk                        25-Oct-2024 20:07               35408
sblim-wbemcli-1.6.3-r1.apk                         25-Oct-2024 20:07               97232
sblim-wbemcli-doc-1.6.3-r1.apk                     25-Oct-2024 20:07                4637
sc-controller-0.5.1-r0.apk                         26-Mar-2025 22:43             1326454
sc-controller-pyc-0.5.1-r0.apk                     26-Mar-2025 22:43              832883
sc3-plugins-3.13.0-r2.apk                          08-Feb-2025 23:43             9894120
scalingo-1.30.0-r10.apk                            14-May-2025 21:14             5486897
schismtracker-20231029-r0.apk                      25-Oct-2024 20:07              386577
schismtracker-doc-20231029-r0.apk                  25-Oct-2024 20:07                6369
scooper-1.3-r1.apk                                 25-Oct-2024 20:07              485732
scooper-doc-1.3-r1.apk                             25-Oct-2024 20:07                2651
screen-message-0.29-r0.apk                         13-Apr-2025 11:54               10179
screen-message-doc-0.29-r0.apk                     13-Apr-2025 11:54                3732
screenkey-1.5-r6.apk                               25-Oct-2024 20:07               78840
screenkey-doc-1.5-r6.apk                           25-Oct-2024 20:07               11282
screenkey-pyc-1.5-r6.apk                           25-Oct-2024 20:07               75117
sct-2018.12.18-r1.apk                              25-Oct-2024 20:07                3587
sdl3_image-3.2.4-r0.apk                            24-Mar-2025 20:22               76201
sdl3_image-dev-3.2.4-r0.apk                        24-Mar-2025 20:22               12339
sdl3_image-doc-3.2.4-r0.apk                        24-Mar-2025 20:22                2111
sdparm-1.12-r1.apk                                 25-Oct-2024 20:07              146250
sdparm-doc-1.12-r1.apk                             25-Oct-2024 20:07               19791
seaweedfs-3.80-r5.apk                              14-May-2025 21:14            24598129
seaweedfs-doc-3.80-r5.apk                          14-May-2025 21:14               13954
seaweedfs-openrc-3.80-r5.apk                       14-May-2025 21:14                1964
secsipidx-1.3.2-r12.apk                            14-May-2025 21:14             2846629
secsipidx-dev-1.3.2-r12.apk                        14-May-2025 21:14             5208377
secsipidx-libs-1.3.2-r12.apk                       14-May-2025 21:14             2543473
sedutil-1.15.1-r1.apk                              25-Oct-2024 20:07              190257
sedutil-doc-1.15.1-r1.apk                          25-Oct-2024 20:07                3140
sentinel-minipot-2.3.0-r1.apk                      25-Oct-2024 20:07               44528
sentinel-minipot-openrc-2.3.0-r1.apk               25-Oct-2024 20:07                2637
sentinel-proxy-2.1.0-r0.apk                        25-Oct-2024 20:07               40228
sentinel-proxy-dev-2.1.0-r0.apk                    25-Oct-2024 20:07                4507
sentinel-proxy-openrc-2.1.0-r0.apk                 25-Oct-2024 20:07                2320
serialdv-1.1.4-r1.apk                              08-Feb-2025 23:43                7421
serialdv-dev-1.1.4-r1.apk                          08-Feb-2025 23:43                5258
serialdv-libs-1.1.4-r1.apk                         08-Feb-2025 23:43               60694
serie-0.4.6-r0.apk                                 05-May-2025 08:10              858349
serie-doc-0.4.6-r0.apk                             05-May-2025 08:10                6759
setroot-2.0.2-r1.apk                               25-Oct-2024 20:07               11514
setroot-doc-2.0.2-r1.apk                           25-Oct-2024 20:07                4552
sfizz-1.2.3-r0.apk                                 16-May-2025 19:18             1723289
sfizz-dev-1.2.3-r0.apk                             16-May-2025 19:18               15096
sfizz-doc-1.2.3-r0.apk                             16-May-2025 19:18                3086
sflowtool-6.02-r0.apk                              25-Oct-2024 20:07               45105
sflowtool-doc-6.02-r0.apk                          25-Oct-2024 20:07                9554
sfwbar-1.0_beta16-r1.apk                           12-Dec-2024 19:02              271122
sfwbar-doc-1.0_beta16-r1.apk                       12-Dec-2024 19:02               27084
sgt-puzzles-0_git20230310-r2.apk                   25-Oct-2024 20:07             2801500
shc-4.0.3-r2.apk                                   25-Oct-2024 20:07               19015
shellinabox-2.21-r3.apk                            25-Oct-2024 20:07              123776
shellinabox-doc-2.21-r3.apk                        25-Oct-2024 20:07               19823
shellinabox-openrc-2.21-r3.apk                     25-Oct-2024 20:07                3607
shfm-0.4.2-r1.apk                                  25-Oct-2024 20:07                4135
shfm-doc-0.4.2-r1.apk                              25-Oct-2024 20:07                6289
shine-3.1.1-r0.apk                                 25-Oct-2024 20:07               56004
shipments-0.3.0-r0.apk                             25-Oct-2024 20:07               24034
shntool-3.0.10-r5.apk                              25-Feb-2025 10:17               59897
shntool-doc-3.0.10-r5.apk                          25-Feb-2025 10:17               10520
shutdown-clear-machine-id-1.0.0-r0.apk             25-Oct-2024 20:07                1836
sigma-0.23.1-r1.apk                                25-Oct-2024 20:07              242462
sigma-pyc-0.23.1-r1.apk                            25-Oct-2024 20:07              348176
sigrok-cli-0.7.2-r0.apk                            25-Oct-2024 20:07               39063
sigrok-cli-doc-0.7.2-r0.apk                        25-Oct-2024 20:07                8184
sigrok-firmware-fx2lafw-bin-0.1.7-r0.apk           25-Oct-2024 20:07               13384
silc-client-1.1.11-r17.apk                         25-Oct-2024 20:07              817013
silc-client-doc-1.1.11-r17.apk                     25-Oct-2024 20:07               84758
simgear-2024.1.1-r0.apk                            05-Mar-2025 00:14             2181195
simgear-dev-2024.1.1-r0.apk                        05-Mar-2025 00:14              413779
simh-3.11.1-r1.apk                                 25-Oct-2024 20:07             2921970
simp1e-cursors-0_git20250312-r0.apk                13-Mar-2025 12:27              457395
simp1e-cursors-adw-0_git20250312-r0.apk            13-Mar-2025 12:27              489265
simp1e-cursors-adw-dark-0_git20250312-r0.apk       13-Mar-2025 12:27              493560
simp1e-cursors-adw-dark-left-0_git20250312-r0.apk  13-Mar-2025 12:27              496609
simp1e-cursors-adw-left-0_git20250312-r0.apk       13-Mar-2025 12:27              494601
simp1e-cursors-breeze-0_git20250312-r0.apk         13-Mar-2025 12:27              516346
simp1e-cursors-breeze-dark-0_git20250312-r0.apk    13-Mar-2025 12:27              532443
simp1e-cursors-breeze-dark-left-0_git20250312-r..> 13-Mar-2025 12:27              538939
simp1e-cursors-breeze-left-0_git20250312-r0.apk    13-Mar-2025 12:27              521536
simp1e-cursors-catppuccin-frappe-0_git20250312-..> 13-Mar-2025 12:27              547861
simp1e-cursors-catppuccin-frappe-left-0_git2025..> 13-Mar-2025 12:27              560589
simp1e-cursors-catppuccin-latte-0_git20250312-r..> 13-Mar-2025 12:27              540221
simp1e-cursors-catppuccin-latte-left-0_git20250..> 13-Mar-2025 12:27              550235
simp1e-cursors-catppuccin-macchiato-0_git202503..> 13-Mar-2025 12:27              545435
simp1e-cursors-catppuccin-macchiato-left-0_git2..> 13-Mar-2025 12:27              557501
simp1e-cursors-catppuccin-mocha-0_git20250312-r..> 13-Mar-2025 12:27              542604
simp1e-cursors-catppuccin-mocha-left-0_git20250..> 13-Mar-2025 12:27              552886
simp1e-cursors-dark-0_git20250312-r0.apk           13-Mar-2025 12:27              495528
simp1e-cursors-dark-left-0_git20250312-r0.apk      13-Mar-2025 12:27              501308
simp1e-cursors-doc-0_git20250312-r0.apk            13-Mar-2025 12:27               14159
simp1e-cursors-gruvbox-dark-0_git20250312-r0.apk   13-Mar-2025 12:27              537582
simp1e-cursors-gruvbox-dark-left-0_git20250312-..> 13-Mar-2025 12:27              549836
simp1e-cursors-gruvbox-light-0_git20250312-r0.apk  13-Mar-2025 12:27              529525
simp1e-cursors-gruvbox-light-left-0_git20250312..> 13-Mar-2025 12:27              539240
simp1e-cursors-left-0_git20250312-r0.apk           13-Mar-2025 12:27              459268
simp1e-cursors-mix-dark-0_git20250312-r0.apk       13-Mar-2025 12:27              498407
simp1e-cursors-mix-dark-left-0_git20250312-r0.apk  13-Mar-2025 12:27              503995
simp1e-cursors-mix-light-0_git20250312-r0.apk      13-Mar-2025 12:27              460333
simp1e-cursors-mix-light-left-0_git20250312-r0.apk 13-Mar-2025 12:27              462265
simp1e-cursors-nord-dark-0_git20250312-r0.apk      13-Mar-2025 12:27              548483
simp1e-cursors-nord-dark-left-0_git20250312-r0.apk 13-Mar-2025 12:27              561724
simp1e-cursors-nord-light-0_git20250312-r0.apk     13-Mar-2025 12:27              530306
simp1e-cursors-nord-light-left-0_git20250312-r0..> 13-Mar-2025 12:27              537274
simp1e-cursors-rose-pine-0_git20250312-r0.apk      13-Mar-2025 12:27              546288
simp1e-cursors-rose-pine-dawn-0_git20250312-r0.apk 13-Mar-2025 12:27              551319
simp1e-cursors-rose-pine-dawn-left-0_git2025031..> 13-Mar-2025 12:27              563806
simp1e-cursors-rose-pine-left-0_git20250312-r0.apk 13-Mar-2025 12:27              558210
simp1e-cursors-rose-pine-moon-0_git20250312-r0.apk 13-Mar-2025 12:27              550801
simp1e-cursors-rose-pine-moon-left-0_git2025031..> 13-Mar-2025 12:27              563389
simp1e-cursors-solarized-dark-0_git20250312-r0.apk 13-Mar-2025 12:27              536265
simp1e-cursors-solarized-dark-left-0_git2025031..> 13-Mar-2025 12:27              547849
simp1e-cursors-solarized-light-0_git20250312-r0..> 13-Mar-2025 12:27              543812
simp1e-cursors-solarized-light-left-0_git202503..> 13-Mar-2025 12:27              555052
simp1e-cursors-tokyo-night-0_git20250312-r0.apk    13-Mar-2025 12:27              542632
simp1e-cursors-tokyo-night-left-0_git20250312-r..> 13-Mar-2025 12:27              553932
simp1e-cursors-tokyo-night-light-0_git20250312-..> 13-Mar-2025 12:27              532316
simp1e-cursors-tokyo-night-light-left-0_git2025..> 13-Mar-2025 12:27              539544
simp1e-cursors-tokyo-night-storm-0_git20250312-..> 13-Mar-2025 12:27              546743
simp1e-cursors-tokyo-night-storm-left-0_git2025..> 13-Mar-2025 12:27              558731
simp1e-cursors-zenburn-0_git20250312-r0.apk        13-Mar-2025 12:27              541957
simp1e-cursors-zenburn-left-0_git20250312-r0.apk   13-Mar-2025 12:27              553113
simpleble-0.8.1-r0.apk                             25-Feb-2025 22:20                1198
simpleble-dev-0.8.1-r0.apk                         25-Feb-2025 22:20               24221
sing-box-1.11.9-r1.apk                             14-May-2025 21:14            12034804
sing-box-bash-completion-1.11.9-r1.apk             14-May-2025 21:14                5269
sing-box-fish-completion-1.11.9-r1.apk             14-May-2025 21:14                4458
sing-box-openrc-1.11.9-r1.apk                      14-May-2025 21:14                2133
sing-box-zsh-completion-1.11.9-r1.apk              14-May-2025 21:14                4168
sing-geoip-20250412-r0.apk                         29-Apr-2025 19:46             2248245
sing-geosite-20250428010409-r0.apk                 29-Apr-2025 19:46             1166134
singular-4.4.1-r2.apk                              05-May-2025 01:12            10517068
singular-dev-4.4.1-r2.apk                          05-May-2025 01:12              372322
singular-doc-4.4.1-r2.apk                          05-May-2025 01:12             1402015
singular-emacs-4.4.1-r2.apk                        05-May-2025 01:12              103878
singular-static-4.4.1-r2.apk                       05-May-2025 01:12             5585111
sipexer-1.2.0-r3.apk                               14-May-2025 21:14             2919356
sipgrep-2.2.0-r1.apk                               25-Oct-2024 20:07               26647
siril-1.2.6-r0.apk                                 02-Feb-2025 03:03             2839871
siril-doc-1.2.6-r0.apk                             02-Feb-2025 03:03               18141
siril-lang-1.2.6-r0.apk                            02-Feb-2025 03:03             1629622
sish-2.16.1-r5.apk                                 14-May-2025 21:14             8233483
sish-openrc-2.16.1-r5.apk                          14-May-2025 21:14                1961
slidge-0.1.3-r0.apk                                25-Oct-2024 20:07              148078
slidge-doc-0.1.3-r0.apk                            25-Oct-2024 20:07                4651
slidge-matridge-0.1.0-r0.apk                       25-Oct-2024 20:07               30400
slidge-matridge-openrc-0.1.0-r0.apk                25-Oct-2024 20:07                1547
slidge-matridge-pyc-0.1.0-r0.apk                   25-Oct-2024 20:07               38833
slidge-openrc-0.1.3-r0.apk                         25-Oct-2024 20:07                2372
slidge-pyc-0.1.3-r0.apk                            25-Oct-2024 20:07              291849
sloccount-2.26-r3.apk                              25-Oct-2024 20:07               60073
sloccount-doc-2.26-r3.apk                          25-Oct-2024 20:07               60794
slurm-0.4.4-r0.apk                                 25-Oct-2024 20:07               14860
slurm-doc-0.4.4-r0.apk                             25-Oct-2024 20:07                2314
smassh-3.1.6-r0.apk                                21-Nov-2024 02:56               74141
smassh-pyc-3.1.6-r0.apk                            21-Nov-2024 02:56               71918
smile-2.10.1-r0.apk                                08-Apr-2025 22:08              737879
smile-lang-2.10.1-r0.apk                           08-Apr-2025 22:08               25719
smplxmpp-0.9.3-r4.apk                              18-Dec-2024 11:47              145327
smplxmpp-doc-0.9.3-r4.apk                          18-Dec-2024 11:47               25370
snapper-0.12.2-r0.apk                              14-May-2025 21:14              954464
snapper-bash-completion-0.12.2-r0.apk              14-May-2025 21:14                3183
snapper-dev-0.12.2-r0.apk                          14-May-2025 21:14               10642
snapper-doc-0.12.2-r0.apk                          14-May-2025 21:14               25577
snapper-lang-0.12.2-r0.apk                         14-May-2025 21:14              217059
snapper-zsh-completion-0.12.2-r0.apk               14-May-2025 21:14                3655
snapraid-12.4-r0.apk                               14-May-2025 21:14              270834
snapraid-doc-12.4-r0.apk                           14-May-2025 21:14               17709
sndfile-tools-1.5-r1.apk                           25-Oct-2024 20:07               38257
sndfile-tools-doc-1.5-r1.apk                       25-Oct-2024 20:07              369632
snippets-ls-0.0.4_git20240617-r5.apk               14-May-2025 21:14             1360261
snore-0.3.1-r0.apk                                 25-Oct-2024 20:07                5012
snore-doc-0.3.1-r0.apk                             25-Oct-2024 20:07                3121
so-0.4.10-r0.apk                                   25-Oct-2024 20:07             2171151
soapy-bladerf-0.4.2-r0.apk                         31-Dec-2024 01:11               49673
soapy-hackrf-0.3.4-r2.apk                          25-Oct-2024 20:07               27860
soapy-sdr-remote-0.5.2-r1.apk                      25-Oct-2024 20:07              189976
soapy-sdr-remote-doc-0.5.2-r1.apk                  25-Oct-2024 20:07                2405
soapy-sdr-remote-openrc-0.5.2-r1.apk               25-Oct-2024 20:07                1730
solanum-6.0.0-r0.apk                               10-Apr-2025 12:58              269161
solanum-lang-6.0.0-r0.apk                          10-Apr-2025 12:58               48459
solarus-engine-1.7.0-r1.apk                        20-Feb-2025 18:49             1994457
solarus-engine-doc-1.7.0-r1.apk                    20-Feb-2025 18:49                3374
somebar-1.0.3-r0.apk                               25-Oct-2024 20:07               34761
somebar-doc-1.0.3-r0.apk                           25-Oct-2024 20:07                2481
sonicradio-0.6.15-r1.apk                           14-May-2025 21:14             3169187
sopwith-2.5.0-r0.apk                               25-Oct-2024 20:07               49318
sopwith-doc-2.5.0-r0.apk                           25-Oct-2024 20:07               15226
sos-0.8-r31.apk                                    14-May-2025 21:14             2830995
soundconverter-4.0.6-r1.apk                        21-May-2025 09:15              168371
soundconverter-doc-4.0.6-r1.apk                    21-May-2025 09:15                4651
soundconverter-lang-4.0.6-r1.apk                   21-May-2025 09:15              109467
soundconverter-pyc-4.0.6-r1.apk                    21-May-2025 09:15               76702
spacectl-1.12.0-r1.apk                             14-May-2025 21:14             5861224
spacectl-bash-completion-1.12.0-r1.apk             14-May-2025 21:14                2103
spacectl-doc-1.12.0-r1.apk                         14-May-2025 21:14                2346
spacectl-fish-completion-1.12.0-r1.apk             14-May-2025 21:14                7230
spacectl-zsh-completion-1.12.0-r1.apk              14-May-2025 21:14                1855
spacer-0.3.9-r0.apk                                26-May-2025 13:06             1010269
spacer-doc-0.3.9-r0.apk                            26-May-2025 13:06                3147
spampd-2.62-r0.apk                                 25-Feb-2025 22:25               39448
spampd-openrc-2.62-r0.apk                          25-Feb-2025 22:25                2027
spark-2.8.3-r1.apk                                 25-Oct-2024 20:07            30332738
speedcrunch-0.12-r3.apk                            25-Oct-2024 20:07             1135481
speedtest-5.2.5-r1.apk                             25-Oct-2024 20:07              259546
speedtest-doc-5.2.5-r1.apk                         25-Oct-2024 20:07               18827
speedtest-examples-5.2.5-r1.apk                    25-Oct-2024 20:07               13265
speedtest-go-1.1.5-r15.apk                         14-May-2025 21:14             5612263
speedtest-go-doc-1.1.5-r15.apk                     14-May-2025 21:14                4621
speedtest-go-openrc-1.1.5-r15.apk                  14-May-2025 21:14                1783
speedtest_exporter-0.3.2-r15.apk                   14-May-2025 21:14             4022507
speedtest_exporter-openrc-0.3.2-r15.apk            14-May-2025 21:14                1904
spice-html5-0.3.0-r1.apk                           25-Oct-2024 20:07              448977
spike-1.1.0-r0.apk                                 25-Oct-2024 20:07             1038281
spiritvnc-0.6.5-r0.apk                             03-Nov-2024 05:09               50299
spnavcfg-1.1-r0.apk                                25-Oct-2024 20:07               36005
spotify-tui-0.25.0-r2.apk                          25-Oct-2024 20:07             1951155
spread-sheet-widget-0.10-r0.apk                    25-Oct-2024 20:07               43220
spread-sheet-widget-dbg-0.10-r0.apk                25-Oct-2024 20:07              198439
spread-sheet-widget-dev-0.10-r0.apk                25-Oct-2024 20:07              349589
spread-sheet-widget-doc-0.10-r0.apk                25-Oct-2024 20:07                4751
spreadtrum_flash-1.20240815-r0.apk                 14-May-2025 21:14               34453
spvm-errno-0.093-r0.apk                            25-Jan-2025 07:04               15761
spvm-errno-doc-0.093-r0.apk                        25-Jan-2025 07:04                6038
spvm-math-1.006-r0.apk                             04-Feb-2025 07:32               18180
spvm-math-doc-1.006-r0.apk                         04-Feb-2025 07:32                7010
spvm-mime-base64-1.003-r0.apk                      04-Feb-2025 07:32               13732
spvm-mime-base64-doc-1.003-r0.apk                  04-Feb-2025 07:32                5479
spvm-thread-0.003-r0.apk                           04-Feb-2025 07:32               10769
spvm-thread-doc-0.003-r0.apk                       04-Feb-2025 07:32                5918
sqlar-0_git20180107-r1.apk                         25-Oct-2024 20:07               15126
sqlar-doc-0_git20180107-r1.apk                     25-Oct-2024 20:07                3387
sqliteodbc-0.99991-r0.apk                          25-Oct-2024 20:07               91266
sqlmap-1.9.4-r0.apk                                13-Apr-2025 23:28             7103018
sqlmap-pyc-1.9.4-r0.apk                            13-Apr-2025 23:28             1220499
sqm-scripts-1.6.0-r0.apk                           25-Oct-2024 20:07               20937
sqruff-0.25.26-r0.apk                              13-Apr-2025 20:35             2247883
sqruff-doc-0.25.26-r0.apk                          13-Apr-2025 20:35                8851
srain-1.8.0-r0.apk                                 19-Nov-2024 22:34              155377
srain-lang-1.8.0-r0.apk                            19-Nov-2024 22:34               35894
sregex-0.0.1-r1.apk                                25-Oct-2024 20:07               19597
sregex-dev-0.0.1-r1.apk                            25-Oct-2024 20:07               24221
ssdfs-tools-4.09-r0.apk                            25-Oct-2024 20:07              112054
ssdfs-tools-dev-4.09-r0.apk                        25-Oct-2024 20:07               18902
ssh-cert-authority-2.0.0-r26.apk                   14-May-2025 21:14             5071436
ssh-honeypot-0.1.1-r1.apk                          25-Oct-2024 20:07                8499
ssh-honeypot-openrc-0.1.1-r1.apk                   25-Oct-2024 20:07                2105
ssh-tools-1.8-r0.apk                               25-Oct-2024 20:07               26191
sshs-4.7.2-r0.apk                                  23-Apr-2025 22:22              754334
sshsrv-1.0-r12.apk                                 14-May-2025 21:14              991164
sshuttle-1.1.2-r0.apk                              25-Oct-2024 20:07               63743
sshuttle-doc-1.1.2-r0.apk                          25-Oct-2024 20:07                8681
sshuttle-pyc-1.1.2-r0.apk                          25-Oct-2024 20:07              103118
sssd-2.10.2-r1.apk                                 21-Mar-2025 19:33             2236172
sssd-dev-2.10.2-r1.apk                             21-Mar-2025 19:33               13729
sssd-openrc-2.10.2-r1.apk                          21-Mar-2025 19:33                1664
ssss-0.5.7-r0.apk                                  25-Oct-2024 20:07               11943
ssss-doc-0.5.7-r0.apk                              25-Oct-2024 20:07                3418
sstp-client-1.0.20-r2.apk                          30-May-2025 10:24               37887
sstp-client-dev-1.0.20-r2.apk                      30-May-2025 10:24                5345
sstp-client-doc-1.0.20-r2.apk                      30-May-2025 10:24                4976
stalwart-cli-0.12.3-r0.apk                         01-Jun-2025 20:01             2585748
stalwart-mail-0.12.3-r0.apk                        01-Jun-2025 20:01            17644222
stalwart-mail-openrc-0.12.3-r0.apk                 01-Jun-2025 20:01                2113
stardict-3.0.6-r6.apk                              25-Oct-2024 20:07              945501
stardict-doc-3.0.6-r6.apk                          25-Oct-2024 20:07                2291
stardict-help-3.0.6-r6.apk                         25-Oct-2024 20:07             3560016
stardict-lang-3.0.6-r6.apk                         25-Oct-2024 20:07              296453
starfighter-2.4-r0.apk                             25-Oct-2024 20:07            50197785
starfighter-doc-2.4-r0.apk                         25-Oct-2024 20:07               22093
startup-2.0.3-r5.apk                               25-Oct-2024 20:07              532243
startup-bridge-dconf-2.0.3-r5.apk                  25-Oct-2024 20:07               38652
startup-bridge-udev-2.0.3-r5.apk                   25-Oct-2024 20:07               38161
startup-dev-2.0.3-r5.apk                           25-Oct-2024 20:07                5982
startup-doc-2.0.3-r5.apk                           25-Oct-2024 20:07               48736
startup-fish-completion-2.0.3-r5.apk               25-Oct-2024 20:07                5512
startup-lang-2.0.3-r5.apk                          25-Oct-2024 20:07               16946
startup-tools-2.0.3-r5.apk                         25-Oct-2024 20:07               13634
stayrtr-0.6.2-r4.apk                               14-May-2025 21:14            10968244
stayrtr-openrc-0.6.2-r4.apk                        14-May-2025 21:14                2026
steamguard-cli-0.9.6-r1.apk                        14-May-2025 21:14             2301173
steamguard-cli-bash-completion-0.9.6-r1.apk        14-May-2025 21:14                2520
steamguard-cli-zsh-completion-0.9.6-r1.apk         14-May-2025 21:14                3598
steghide-0.5.1.1-r0.apk                            25-Oct-2024 20:07              138360
steghide-doc-0.5.1.1-r0.apk                        25-Oct-2024 20:07               14051
stern-1.32.0-r4.apk                                14-May-2025 21:14            18540989
stern-bash-completion-1.32.0-r4.apk                14-May-2025 21:14                5981
stern-fish-completion-1.32.0-r4.apk                14-May-2025 21:14                4425
stern-zsh-completion-1.32.0-r4.apk                 14-May-2025 21:14                4144
sthttpd-2.27.1-r2.apk                              25-Oct-2024 20:07               64289
sthttpd-doc-2.27.1-r2.apk                          25-Oct-2024 20:07               18661
sthttpd-openrc-2.27.1-r2.apk                       25-Oct-2024 20:07                1998
stockfish-17-r0.apk                                03-Mar-2025 22:42            64777006
stone-soup-0.32.1-r0.apk                           25-Oct-2024 20:07            32792722
stw-0.3-r0.apk                                     25-Oct-2024 20:07                7518
stw-doc-0.3-r0.apk                                 25-Oct-2024 20:07                2591
subdl-0_git20230616-r1.apk                         25-Oct-2024 20:07                8896
subdl-pyc-0_git20230616-r1.apk                     25-Oct-2024 20:07               14455
sublime-music-0.12.0-r1.apk                        25-Oct-2024 20:07              194061
sublime-music-pyc-0.12.0-r1.apk                    25-Oct-2024 20:07              309430
subliminal-2.2.1-r1.apk                            14-May-2025 21:14               70070
subliminal-pyc-2.2.1-r1.apk                        14-May-2025 21:14              137884
sudo-ldap-1.9.14-r1.apk                            25-Oct-2024 20:07              785762
supercollider-3.13.0-r6.apk                        08-Feb-2025 23:43             7767180
supercollider-dev-3.13.0-r6.apk                    08-Feb-2025 23:43               40145
supermin-5.2.2-r2.apk                              25-Oct-2024 20:07              517253
supermin-doc-5.2.2-r2.apk                          25-Oct-2024 20:07                9587
surf-2.1-r3.apk                                    25-Oct-2024 20:07               22003
surf-doc-2.1-r3.apk                                25-Oct-2024 20:07                4745
surfraw-2.3.0-r0.apk                               25-Oct-2024 20:07               80596
surfraw-doc-2.3.0-r0.apk                           25-Oct-2024 20:07               18106
suru-icon-theme-2025.05.0-r0.apk                   14-May-2025 21:14             3012093
svgbob-0.7.6-r0.apk                                01-Feb-2025 19:55              483608
svls-0.2.12-r0.apk                                 25-Oct-2024 20:07             3680423
svls-doc-0.2.12-r0.apk                             25-Oct-2024 20:07                2281
swaks-20240103.0-r0.apk                            25-Oct-2024 20:07               67662
swaks-doc-20240103.0-r0.apk                        25-Oct-2024 20:07               50818
swappy-1.5.1-r0.apk                                25-Oct-2024 20:07               27579
swappy-doc-1.5.1-r0.apk                            25-Oct-2024 20:07                3788
swappy-lang-1.5.1-r0.apk                           25-Oct-2024 20:07                3730
sway-audio-idle-inhibit-0.1.2-r0.apk               25-Oct-2024 20:07                9661
swayhide-0.2.1-r2.apk                              25-Oct-2024 20:07              265349
swhkd-1.2.1-r0.apk                                 25-Oct-2024 20:07             1110461
swhkd-doc-1.2.1-r0.apk                             25-Oct-2024 20:07                6321
swi-prolog-9.2.9-r0.apk                            21-Dec-2024 10:08             4835125
swi-prolog-doc-9.2.9-r0.apk                        21-Dec-2024 10:08             2162684
swi-prolog-pyc-9.2.9-r0.apk                        21-Dec-2024 10:08               22991
swi-prolog-xpce-9.2.9-r0.apk                       21-Dec-2024 10:08              943962
swi-prolog-xpce-doc-9.2.9-r0.apk                   21-Dec-2024 10:08             1088909
sxcs-1.1.0-r0.apk                                  25-Oct-2024 20:07                8824
sxcs-doc-1.1.0-r0.apk                              25-Oct-2024 20:07                2702
sydbox-3.21.3-r0.apk                               25-Oct-2024 20:07             1488468
sydbox-doc-3.21.3-r0.apk                           25-Oct-2024 20:07               85912
sydbox-oci-3.21.3-r0.apk                           25-Oct-2024 20:07             1933986
sydbox-utils-3.21.3-r0.apk                         25-Oct-2024 20:07             6633239
sydbox-vim-3.21.3-r0.apk                           25-Oct-2024 20:07                5355
sylpheed-imap-notify-1.1.0-r2.apk                  25-Oct-2024 20:07                8010
symbiyosys-0.36-r0.apk                             25-Oct-2024 20:07               38453
symengine-0.12.0-r0.apk                            25-Oct-2024 20:07             3671415
symlinks-1.4.3-r0.apk                              22-Apr-2025 21:28                6174
symlinks-doc-1.4.3-r0.apk                          22-Apr-2025 21:28                3945
sympow-2.023.7-r2.apk                              02-May-2025 14:16             1903295
sympow-doc-2.023.7-r2.apk                          02-May-2025 14:16                3184
synapse-bt-1.0-r4.apk                              25-Oct-2024 20:07             1163047
synapse-bt-cli-1.0-r4.apk                          25-Oct-2024 20:07             1042066
synapse-bt-openrc-1.0-r4.apk                       25-Oct-2024 20:07                1864
syncthing-gtk-0.9.4.5-r2.apk                       25-Oct-2024 20:07              450514
syncthing-gtk-doc-0.9.4.5-r2.apk                   25-Oct-2024 20:07                2256
syncthing-gtk-pyc-0.9.4.5-r2.apk                   25-Oct-2024 20:07              225891
t2sz-1.1.2-r0.apk                                  25-Oct-2024 20:07                8640
tabby-3.1-r1.apk                                   25-Oct-2024 20:07               27609
tabby-doc-3.1-r1.apk                               25-Oct-2024 20:07                2287
tachyon-0.99_beta6-r1.apk                          25-Oct-2024 20:07               90320
tachyon-scenes-0.99_beta6-r1.apk                   25-Oct-2024 20:07             2027040
tailspin-5.4.2-r0.apk                              14-May-2025 21:14             1235888
tailspin-bash-completion-5.4.2-r0.apk              14-May-2025 21:14                2282
tailspin-doc-5.4.2-r0.apk                          14-May-2025 21:14                3086
tailspin-fish-completion-5.4.2-r0.apk              14-May-2025 21:14                2170
tailspin-zsh-completion-5.4.2-r0.apk               14-May-2025 21:14                2521
tang-15-r0.apk                                     25-Jan-2025 07:04               15458
tang-dbg-15-r0.apk                                 25-Jan-2025 07:04               32685
tang-doc-15-r0.apk                                 25-Jan-2025 07:04               21063
tang-openrc-15-r0.apk                              25-Jan-2025 07:04                1936
tangara-companion-0.4.3-r0.apk                     17-Dec-2024 21:07             1110469
tangctl-0_git20241007-r4.apk                       14-May-2025 21:14             2715094
tanidvr-1.4.1-r2.apk                               21-Mar-2025 11:52               22445
tanidvr-dhav2mkv-1.4.1-r2.apk                      21-Mar-2025 11:52               12446
tartube-2.5.0-r1.apk                               25-Oct-2024 20:07             2874303
tartube-pyc-2.5.0-r1.apk                           25-Oct-2024 20:07             1123636
taskcafe-0.3.6-r13.apk                             14-May-2025 21:14            14137246
taskcafe-openrc-0.3.6-r13.apk                      14-May-2025 21:14                1872
taskwarrior-tui-0.26.3-r0.apk                      26-Mar-2025 10:25             1260123
taskwarrior-tui-bash-completion-0.26.3-r0.apk      26-Mar-2025 10:25                2031
taskwarrior-tui-doc-0.26.3-r0.apk                  26-Mar-2025 10:25                4036
taskwarrior-tui-fish-completion-0.26.3-r0.apk      26-Mar-2025 10:25                1779
tayga-0.9.2-r0.apk                                 25-Oct-2024 20:07               22510
tayga-doc-0.9.2-r0.apk                             25-Oct-2024 20:07                5705
tcl-curl-7.22.0-r0.apk                             25-Oct-2024 20:07               29390
tcl-curl-doc-7.22.0-r0.apk                         25-Oct-2024 20:07               38565
tcl9-9.0.1-r0.apk                                  22-Dec-2024 06:12             1863378
tcl9-dev-9.0.1-r0.apk                              22-Dec-2024 06:12              187639
tcl9-doc-9.0.1-r0.apk                              22-Dec-2024 06:12             1442515
tdrop-0.5.0-r0.apk                                 25-Oct-2024 20:07               12105
tdrop-doc-0.5.0-r0.apk                             25-Oct-2024 20:07                9066
tealdeer-1.7.2-r0.apk                              23-Mar-2025 13:18              931436
tealdeer-bash-completion-1.7.2-r0.apk              23-Mar-2025 13:18                2013
tealdeer-fish-completion-1.7.2-r0.apk              23-Mar-2025 13:18                2261
tealdeer-zsh-completion-1.7.2-r0.apk               23-Mar-2025 13:18                2371
telegram-bot-api-9.0-r0.apk                        14-Apr-2025 01:12             7320646
telegram-tdlib-1.8.47-r0.apk                       14-Apr-2025 01:08             7287468
telegram-tdlib-dev-1.8.47-r0.apk                   14-Apr-2025 01:08              186511
telegram-tdlib-static-1.8.47-r0.apk                14-Apr-2025 01:08            20609968
templ-0.3.850-r2.apk                               14-May-2025 21:14             4811564
tenv-4.6.2-r0.apk                                  14-May-2025 21:14             9751952
tenv-bash-completion-4.6.2-r0.apk                  14-May-2025 21:14                6251
tenv-fish-completion-4.6.2-r0.apk                  14-May-2025 21:14                4426
tenv-zsh-completion-4.6.2-r0.apk                   14-May-2025 21:14                4141
tere-1.6.0-r0.apk                                  25-Oct-2024 20:07             1067726
tere-doc-1.6.0-r0.apk                              25-Oct-2024 20:07               14322
termbox-1.1.2-r1.apk                               25-Oct-2024 20:07               11373
termbox-dev-1.1.2-r1.apk                           25-Oct-2024 20:07                5870
termbox-static-1.1.2-r1.apk                        25-Oct-2024 20:07               12156
termcolor-2.1.0-r0.apk                             25-Oct-2024 20:07                1508
termcolor-dev-2.1.0-r0.apk                         25-Oct-2024 20:07                7001
terminology-1.14.0-r0.apk                          27-May-2025 22:49             2810068
terminology-doc-1.14.0-r0.apk                      27-May-2025 22:49                9211
terminology-lang-1.14.0-r0.apk                     27-May-2025 22:49              146407
termusic-mpv-0.7.11-r0.apk                         25-Oct-2024 20:07             6458590
tfupdate-0.8.2-r6.apk                              14-May-2025 21:14             4958647
tfupdate-doc-0.8.2-r6.apk                          14-May-2025 21:14                2322
theforceengine-1.09.540-r1.apk                     25-Oct-2024 20:07             7141951
theforceengine-doc-1.09.540-r1.apk                 25-Oct-2024 20:07             6631395
thefuck-3.32-r5.apk                                25-Oct-2024 20:07               85337
thefuck-pyc-3.32-r5.apk                            25-Oct-2024 20:07              159462
thelounge-4.4.3-r0.apk                             25-Oct-2024 20:07            29219210
thelounge-doc-4.4.3-r0.apk                         25-Oct-2024 20:07                2358
thelounge-openrc-4.4.3-r0.apk                      25-Oct-2024 20:07                2085
theme.sh-1.1.5-r0.apk                              25-Oct-2024 20:07               39991
theme.sh-doc-1.1.5-r0.apk                          25-Oct-2024 20:07                2353
throttled-0.10.0-r1.apk                            15-Dec-2024 19:22               14904
throttled-openrc-0.10.0-r1.apk                     15-Dec-2024 19:22                1646
throttled-pyc-0.10.0-r1.apk                        15-Dec-2024 19:22               29048
thumbdrives-0.3.2-r2.apk                           25-Oct-2024 20:07               11205
thunar-gtkhash-plugin-1.5-r0.apk                   25-Oct-2024 20:07               22329
thunarx-python-0.5.2-r2.apk                        25-Oct-2024 20:07                9615
thunarx-python-doc-0.5.2-r2.apk                    25-Oct-2024 20:07               25807
tick-1.2.2-r0.apk                                  14-Jan-2025 01:26               10878
tick-doc-1.2.2-r0.apk                              14-Jan-2025 01:26                5595
ticker-4.8.1-r2.apk                                14-May-2025 21:14             4167266
ticker-bash-completion-4.8.1-r2.apk                14-May-2025 21:14                4687
ticker-fish-completion-4.8.1-r2.apk                14-May-2025 21:14                3977
ticker-zsh-completion-4.8.1-r2.apk                 14-May-2025 21:14                3818
timeshift-24.06.6-r0.apk                           23-Apr-2025 22:22              477485
timeshift-doc-24.06.6-r0.apk                       23-Apr-2025 22:22                3238
timeshift-lang-24.06.6-r0.apk                      23-Apr-2025 22:22              938845
timew-1.4.3-r1.apk                                 25-Oct-2024 20:07              254274
timew-bash-completion-1.4.3-r1.apk                 25-Oct-2024 20:07                2829
timew-doc-1.4.3-r1.apk                             25-Oct-2024 20:07               54428
timewarrior-1.7.1-r0.apk                           25-Oct-2024 20:07              268603
timewarrior-doc-1.7.1-r0.apk                       25-Oct-2024 20:07               22713
tintin-2.02.31-r0.apk                              25-Oct-2024 20:07             1915173
tinyemu-2019.12.21-r0.apk                          25-May-2025 23:35              110147
tinygltf-2.9.4-r0.apk                              25-Jan-2025 07:04              142529
tinygltf-dev-2.9.4-r0.apk                          25-Jan-2025 07:04               58552
tinyscheme-1.42-r1.apk                             25-Oct-2024 20:07               52761
tk9-9.0.1-r0.apk                                   22-Dec-2024 06:12              847703
tk9-dev-9.0.1-r0.apk                               22-Dec-2024 06:12               83336
tk9-doc-9.0.1-r0.apk                               22-Dec-2024 06:12             1388531
tldr-python-client-3.3.0-r0.apk                    01-Dec-2024 17:09               12405
tldr-python-client-doc-3.3.0-r0.apk                01-Dec-2024 17:09                3588
tldr-python-client-pyc-3.3.0-r0.apk                01-Dec-2024 17:09               14515
tmate-2.4.0-r4.apk                                 25-Oct-2024 20:07              241373
tmate-doc-2.4.0-r4.apk                             25-Oct-2024 20:07               73443
tmpl-0.4.0-r11.apk                                 14-May-2025 21:14             2770491
tmpl-doc-0.4.0-r11.apk                             14-May-2025 21:14                2307
tmpmail-1.2.3-r2.apk                               25-Oct-2024 20:07                7167
tmpmail-doc-1.2.3-r2.apk                           25-Oct-2024 20:07                3302
tmux-resurrect-4.0.0-r0.apk                        25-Oct-2024 20:07               14185
tmux-resurrect-doc-4.0.0-r0.apk                    25-Oct-2024 20:07                8595
tncattach-0.1.9-r1.apk                             25-Oct-2024 20:07               22016
tncattach-doc-0.1.9-r1.apk                         25-Oct-2024 20:07                4003
tnef-1.4.18-r0.apk                                 25-Oct-2024 20:07               25723
tnef-doc-1.4.18-r0.apk                             25-Oct-2024 20:07                4298
toapk-1.0-r0.apk                                   25-Oct-2024 20:07               10613
today-6.2.0-r0.apk                                 25-Oct-2024 20:07                3218
today-doc-6.2.0-r0.apk                             25-Oct-2024 20:07                3352
tofutf-0.10.0-r5.apk                               14-May-2025 21:14                1299
tofutf-agent-0.10.0-r5.apk                         14-May-2025 21:14             9299016
tofutf-agent-openrc-0.10.0-r5.apk                  14-May-2025 21:14                2044
tofutf-cli-0.10.0-r5.apk                           14-May-2025 21:14             8604191
tofutf-server-0.10.0-r5.apk                        14-May-2025 21:14            13519912
tofutf-server-openrc-0.10.0-r5.apk                 14-May-2025 21:14                2049
toml2json-1.3.1-r0.apk                             25-Oct-2024 20:07              378110
toml2json-doc-1.3.1-r0.apk                         25-Oct-2024 20:07                3358
topgit-0.19.13-r1.apk                              25-Oct-2024 20:07              129694
topgit-bash-completion-0.19.13-r1.apk              25-Oct-2024 20:07                4124
topgit-doc-0.19.13-r1.apk                          25-Oct-2024 20:07               75189
torrent-file-editor-0.3.18-r0.apk                  25-Oct-2024 20:07              368512
toss-1.1-r1.apk                                    29-May-2025 11:57               11501
touchpad-emulator-0.3-r0.apk                       26-May-2025 09:33               13882
toybox-0.8.11-r1.apk                               25-Oct-2024 20:07              300118
tpm2-pkcs11-1.9.1-r0.apk                           25-Oct-2024 20:07              134662
tpm2-pkcs11-dev-1.9.1-r0.apk                       25-Oct-2024 20:07                1917
tpm2-pkcs11-pyc-1.9.1-r0.apk                       25-Oct-2024 20:07               70579
trace-cmd-3.3.1-r1.apk                             25-Jan-2025 07:04              167537
trace-cmd-bash-completion-3.3.1-r1.apk             25-Jan-2025 07:04                3427
trace-cmd-dbg-3.3.1-r1.apk                         25-Jan-2025 07:04              520948
trace-cmd-doc-3.3.1-r1.apk                         25-Jan-2025 07:04              175375
transmission-remote-gtk-1.6.0-r0.apk               25-Oct-2024 20:07              140850
transmission-remote-gtk-doc-1.6.0-r0.apk           25-Oct-2024 20:07                4303
transmission-remote-gtk-lang-1.6.0-r0.apk          25-Oct-2024 20:07              108526
trantor-1.5.18-r0.apk                              25-Oct-2024 20:07              216526
trantor-dev-1.5.18-r0.apk                          25-Oct-2024 20:07               34817
trantor-doc-1.5.18-r0.apk                          25-Oct-2024 20:07                2675
tre-0.8.0-r2.apk                                   25-Oct-2024 20:07               24778
tre-dev-0.8.0-r2.apk                               25-Oct-2024 20:07                5302
tre-static-0.8.0-r2.apk                            25-Oct-2024 20:07               26098
tree-sitter-caddy-0_git20230322-r0.apk             25-Oct-2024 20:07               86397
tree-sitter-caddy-doc-0_git20230322-r0.apk         25-Oct-2024 20:07                2317
tree-sitter-clojure-0.0.12-r0.apk                  25-Oct-2024 20:07               23037
tree-sitter-dart-0_git20250228-r0.apk              10-Mar-2025 22:54              101793
tree-sitter-git-commit-0_git20211225-r3.apk        26-Feb-2025 17:29               13939
tree-sitter-git-diff-0_git20230730-r0.apk          25-Oct-2024 20:07                9914
tree-sitter-git-rebase-0_git20240722-r0.apk        10-Mar-2025 22:54                5140
tree-sitter-gleam-1.0.0-r0.apk                     25-Oct-2024 20:07               44681
tree-sitter-hare-0_git20230616-r1.apk              25-Oct-2024 20:07               35895
tree-sitter-haskell-0.23.1-r0.apk                  09-Jan-2025 15:53              291148
tree-sitter-hcl-1.1.1-r0.apk                       17-May-2025 10:39               23038
tree-sitter-just-0_git20230318-r0.apk              25-Oct-2024 20:07               13767
tree-sitter-make-0_git20211216-r2.apk              25-Oct-2024 20:07               42268
tree-sitter-pascal-0.9.1-r0.apk                    25-Oct-2024 20:07               84746
tree-sitter-pascal-doc-0.9.1-r0.apk                25-Oct-2024 20:07                2325
tree-sitter-yaml-0.7.1-r0.apk                      23-May-2025 05:05               37790
tree-sitter-yaml-doc-0.7.1-r0.apk                  23-May-2025 05:05                2323
tremc-0.9.3-r1.apk                                 14-May-2025 21:14               49571
tremc-bash-completion-0.9.3-r1.apk                 14-May-2025 21:14                1948
tremc-doc-0.9.3-r1.apk                             14-May-2025 21:14                2920
tremc-zsh-completion-0.9.3-r1.apk                  14-May-2025 21:14                1861
trigger-rally-0.6.7-r3.apk                         25-Mar-2025 15:01              300484
trigger-rally-data-0.6.7-r3.apk                    25-Mar-2025 15:01           369090779
trigger-rally-doc-0.6.7-r3.apk                     25-Mar-2025 15:01               28810
trippy-0.13.0-r0.apk                               18-May-2025 23:21             2291260
trippy-bash-completion-0.13.0-r0.apk               18-May-2025 23:21                3259
trippy-zsh-completion-0.13.0-r0.apk                18-May-2025 23:21                4965
trivy-0.62.1-r0.apk                                23-May-2025 19:15            65992656
tsung-1.8.0-r2.apk                                 25-Oct-2024 20:07              747673
ttfautohint-1.8.4-r0.apk                           25-Oct-2024 20:07               27114
ttfautohint-dev-1.8.4-r0.apk                       25-Oct-2024 20:07              155654
ttfautohint-doc-1.8.4-r0.apk                       25-Oct-2024 20:07                8291
ttfautohint-gui-1.8.4-r0.apk                       25-Oct-2024 20:07               56415
ttfautohint-libs-1.8.4-r0.apk                      25-Oct-2024 20:07              106462
tty-clock-2.3_git20240104-r0.apk                   25-Oct-2024 20:07                8597
tty-clock-doc-2.3_git20240104-r0.apk               25-Oct-2024 20:07                3172
tty-proxy-0.0.2-r28.apk                            14-May-2025 21:14             2673946
tty-share-2.4.0-r18.apk                            14-May-2025 21:14             3838947
ttyper-1.6.0-r0.apk                                05-Feb-2025 21:45              624519
tui-journal-0.10.0-r0.apk                          25-Oct-2024 20:07             2006363
tui-journal-doc-0.10.0-r0.apk                      25-Oct-2024 20:07                7063
tuned-2.25.1-r2.apk                                28-May-2025 10:42              162656
tuned-bash-completion-2.25.1-r2.apk                28-May-2025 10:42                1962
tuned-doc-2.25.1-r2.apk                            28-May-2025 10:42               80528
tuned-gtk-2.25.1-r2.apk                            28-May-2025 10:42               22511
tuned-openrc-2.25.1-r2.apk                         28-May-2025 10:42                1747
tuned-ppd-2.25.1-r2.apk                            28-May-2025 10:42                3760
tuned-ppd-openrc-2.25.1-r2.apk                     28-May-2025 10:42                1796
tuned-profiles-2.25.1-r2.apk                       28-May-2025 10:42                8353
tuned-profiles-compat-2.25.1-r2.apk                28-May-2025 10:42                3663
tuned-pyc-2.25.1-r2.apk                            28-May-2025 10:42              340698
tuned-utils-2.25.1-r2.apk                          28-May-2025 10:42               10813
tup-0.7.11-r0.apk                                  25-Oct-2024 20:07              234606
tup-doc-0.7.11-r0.apk                              25-Oct-2024 20:07               21365
tup-vim-0.7.11-r0.apk                              25-Oct-2024 20:07                2636
tuptime-5.2.4-r1.apk                               28-Nov-2024 22:44               14180
tuptime-doc-5.2.4-r1.apk                           28-Nov-2024 22:44                3836
tuptime-openrc-5.2.4-r1.apk                        28-Nov-2024 22:44                1732
turn-rs-3.4.0-r0.apk                               15-Mar-2025 23:06              612064
turn-rs-doc-3.4.0-r0.apk                           15-Mar-2025 23:06               11021
turn-rs-openrc-3.4.0-r0.apk                        15-Mar-2025 23:06                1948
turnstile-0.1.10-r3.apk                            25-Oct-2024 20:07               38889
turnstile-doc-0.1.10-r3.apk                        25-Oct-2024 20:07                5839
turnstile-openrc-0.1.10-r3.apk                     25-Oct-2024 20:07                1841
turntable-0.3.3-r0.apk                             27-May-2025 13:01              179511
turntable-lang-0.3.3-r0.apk                        27-May-2025 13:01               12639
twemproxy-0.5.0-r0.apk                             25-Oct-2024 20:07               62414
twemproxy-doc-0.5.0-r0.apk                         25-Oct-2024 20:07               17619
twiggy-0.6.0-r3.apk                                25-Oct-2024 20:07              804226
twinkle-1.10.3-r3.apk                              04-Jan-2025 21:55             2526895
twinkle-doc-1.10.3-r3.apk                          04-Jan-2025 21:55                3579
typobuster-1.0.0-r0.apk                            23-Apr-2025 22:22              132458
typos-lsp-0.1.37-r0.apk                            14-May-2025 21:14             2934090
typos-lsp-doc-0.1.37-r0.apk                        14-May-2025 21:14                2329
typstyle-0.12.14-r0.apk                            12-Jan-2025 13:45              541263
u1db-qt-0.1.8-r0.apk                               25-Oct-2024 20:07               94826
uasm-2.56.2-r0.apk                                 25-Oct-2024 20:07              293509
ubase-20200605-r3.apk                              25-Oct-2024 20:07               46810
ubase-doc-20200605-r3.apk                          25-Oct-2024 20:07               21742
ubuntu-archive-keyring-2023.11.28.1-r0.apk         25-Oct-2024 20:07               16536
ubuntu-archive-keyring-doc-2023.11.28.1-r0.apk     25-Oct-2024 20:07                2323
uclient-20241022-r0.apk                            29-May-2025 22:00               16211
uclient-dev-20241022-r0.apk                        29-May-2025 22:00                3632
uclient-fetch-20241022-r0.apk                      29-May-2025 22:00               11679
udpt-3.1.2-r0.apk                                  25-Oct-2024 20:07              734704
udpt-openrc-3.1.2-r0.apk                           25-Oct-2024 20:07                1848
ueberzug-18.3.1-r0.apk                             22-Feb-2025 11:09               65752
ueberzug-pyc-18.3.1-r0.apk                         22-Feb-2025 11:09               65295
umtprd-1.6.8-r0.apk                                01-Jun-2025 08:00               42557
umtprd-openrc-1.6.8-r0.apk                         01-Jun-2025 08:00                1852
undock-0.10.0-r0.apk                               16-May-2025 14:37            10306714
unit-php81-1.34.2-r1.apk                           24-Apr-2025 00:44               30425
up-0.4-r29.apk                                     14-May-2025 21:14             1218829
upterm-0.14.3-r5.apk                               14-May-2025 21:14             6130752
upterm-bash-completion-0.14.3-r5.apk               14-May-2025 21:14                5663
upterm-doc-0.14.3-r5.apk                           14-May-2025 21:14                6542
upterm-server-0.14.3-r5.apk                        14-May-2025 21:14             6011076
upterm-server-openrc-0.14.3-r5.apk                 14-May-2025 21:14                1925
upterm-zsh-completion-0.14.3-r5.apk                14-May-2025 21:14                4144
urlwatch-2.28-r2.apk                               25-Oct-2024 20:07               49878
urlwatch-doc-2.28-r2.apk                           25-Oct-2024 20:07               33987
urlwatch-pyc-2.28-r2.apk                           25-Oct-2024 20:07              103816
usbmuxd-1.1.1-r9.apk                               18-Apr-2025 14:39               34616
usbmuxd-doc-1.1.1-r9.apk                           18-Apr-2025 14:39                3067
usbmuxd-udev-1.1.1-r9.apk                          18-Apr-2025 14:39                2060
usbtop-1.0-r0.apk                                  12-Apr-2025 12:26               13171
ustr-1.0.4-r1.apk                                  25-Oct-2024 20:07               49182
ustr-debug-1.0.4-r1.apk                            25-Oct-2024 20:07               66158
ustr-dev-1.0.4-r1.apk                              25-Oct-2024 20:07               93648
ustr-doc-1.0.4-r1.apk                              25-Oct-2024 20:07               98898
ustr-static-1.0.4-r1.apk                           25-Oct-2024 20:07              134922
utop-2.9.1-r4.apk                                  25-Oct-2024 20:07            13926226
utop-common-2.9.1-r4.apk                           25-Oct-2024 20:07                2065
utop-doc-2.9.1-r4.apk                              25-Oct-2024 20:07                5857
utop-emacs-2.9.1-r4.apk                            25-Oct-2024 20:07               13118
utop-full-2.9.1-r4.apk                             25-Oct-2024 20:07            13930742
uucp-1.07-r6.apk                                   25-Oct-2024 20:07              478810
uucp-doc-1.07-r6.apk                               25-Oct-2024 20:07              120932
uxn-1.0-r0.apk                                     25-Oct-2024 20:07               43101
uxn-doc-1.0-r0.apk                                 25-Oct-2024 20:07                4257
uxplay-1.72-r0.apk                                 14-May-2025 21:14              229901
uxplay-doc-1.72-r0.apk                             14-May-2025 21:14                4817
vals-0.41.0-r0.apk                                 16-May-2025 14:37            29757712
varnish-modules-0.24.0-r0.apk                      25-Oct-2024 20:07               42486
varnish-modules-doc-0.24.0-r0.apk                  25-Oct-2024 20:07               21856
vbindiff-3.0_beta5-r2.apk                          25-May-2025 07:49               20680
vbindiff-doc-3.0_beta5-r2.apk                      25-May-2025 07:49                5589
vcdimager-2.0.1-r5.apk                             25-Jan-2025 07:04              492809
vcdimager-dev-2.0.1-r5.apk                         25-Jan-2025 07:04              120982
vcdimager-doc-2.0.1-r5.apk                         25-Jan-2025 07:04               76072
vcsh-2.0.5-r0.apk                                  25-Oct-2024 20:07                9005
vcsh-bash-completion-2.0.5-r0.apk                  25-Oct-2024 20:07                2990
vcsh-doc-2.0.5-r0.apk                              25-Oct-2024 20:07               27846
vcsh-zsh-completion-2.0.5-r0.apk                   25-Oct-2024 20:07                2998
vcstool-0.3.0-r5.apk                               25-Oct-2024 20:07               35636
vcstool-bash-completion-0.3.0-r5.apk               25-Oct-2024 20:07                1797
vcstool-pyc-0.3.0-r5.apk                           25-Oct-2024 20:07               59109
vcstool-tcsh-completion-0.3.0-r5.apk               25-Oct-2024 20:07                1674
vcstool-zsh-completion-0.3.0-r5.apk                25-Oct-2024 20:07                1769
vectoroids-1.1.0-r2.apk                            25-Oct-2024 20:07              287880
vectoroids-doc-1.1.0-r2.apk                        25-Oct-2024 20:07                2348
vera++-1.3.0-r10.apk                               25-Oct-2024 20:07              250843
vfd-configurations-0_git20230612-r0.apk            25-Oct-2024 20:07               25396
vice-3.9-r0.apk                                    25-Feb-2025 10:17            13260969
vice-doc-3.9-r0.apk                                25-Feb-2025 10:17             2303988
video-trimmer-0.9.0-r0.apk                         25-Oct-2024 20:07              831113
video-trimmer-lang-0.9.0-r0.apk                    25-Oct-2024 20:07               93044
viewnior-1.8-r1.apk                                25-Oct-2024 20:07               71492
viewnior-doc-1.8-r1.apk                            25-Oct-2024 20:07                2149
viewnior-lang-1.8-r1.apk                           25-Oct-2024 20:07               87194
vile-9.8z_p1-r0.apk                                19-Mar-2025 17:51              776213
vile-common-9.8z_p1-r0.apk                         19-Mar-2025 17:51              362790
vile-doc-9.8z_p1-r0.apk                            19-Mar-2025 17:51              365693
vim-airline-0.11-r0.apk                            25-Oct-2024 20:07               88456
vim-airline-doc-0.11-r0.apk                        25-Oct-2024 20:07               12419
vim-nerdtree-7.1.3-r0.apk                          04-Mar-2025 21:00               68159
vim-rust-305-r0.apk                                25-Oct-2024 20:07               20552
virtctl-1.5.1-r0.apk                               18-May-2025 21:51            15241188
virtctl-bash-completion-1.5.1-r0.apk               18-May-2025 21:51                5250
virtctl-fish-completion-1.5.1-r0.apk               18-May-2025 21:51                4430
virtctl-zsh-completion-1.5.1-r0.apk                18-May-2025 21:51                4147
virter-0.29.0-r0.apk                               16-May-2025 07:14             5858922
virter-bash-completion-0.29.0-r0.apk               16-May-2025 07:14                6256
virter-doc-0.29.0-r0.apk                           16-May-2025 07:14               15242
virter-fish-completion-0.29.0-r0.apk               16-May-2025 07:14                4430
virter-zsh-completion-0.29.0-r0.apk                16-May-2025 07:14                4152
virtualgl-3.1.3-r0.apk                             22-Apr-2025 21:28             1738147
virtualgl-dev-3.1.3-r0.apk                         22-Apr-2025 21:28                6265
virtualgl-doc-3.1.3-r0.apk                         22-Apr-2025 21:28              321211
visidata-3.1.1-r0.apk                              18-May-2025 15:39              416708
visidata-doc-3.1.1-r0.apk                          18-May-2025 15:39               18514
visidata-pyc-3.1.1-r0.apk                          18-May-2025 15:39              838234
visidata-zsh-completion-3.1.1-r0.apk               18-May-2025 15:39                9470
vit-2.3.2-r1.apk                                   25-Oct-2024 20:07               82410
vit-pyc-2.3.2-r1.apk                               25-Oct-2024 20:07              155113
vkbasalt-0.3.2.10-r0.apk                           25-Oct-2024 20:07              376128
vkbasalt-doc-0.3.2.10-r0.apk                       25-Oct-2024 20:07                3133
vmtouch-1.3.1-r0.apk                               25-Oct-2024 20:07               13383
vmtouch-doc-1.3.1-r0.apk                           25-Oct-2024 20:07                8228
voikko-fi-2.5-r0.apk                               25-Oct-2024 20:07             1638404
volumeicon-0.5.1-r1.apk                            25-Oct-2024 20:07               41610
volumeicon-lang-0.5.1-r1.apk                       25-Oct-2024 20:07                3851
vym-2.9.26-r0.apk                                  25-Oct-2024 20:07             2911075
vym-doc-2.9.26-r0.apk                              25-Oct-2024 20:07             3555350
w_scan2-1.0.16-r0.apk                              17-Feb-2025 12:07              145478
w_scan2-doc-1.0.16-r0.apk                          17-Feb-2025 12:07                4190
wabt-1.0.37-r0.apk                                 06-Apr-2025 21:39             3951084
wabt-doc-1.0.37-r0.apk                             06-Apr-2025 21:39               13742
waifu2x-converter-cpp-5.3.4-r8.apk                 16-Jan-2025 22:05            12156954
wakeonlan-0.42-r0.apk                              25-Oct-2024 20:07                4613
wakeonlan-doc-0.42-r0.apk                          25-Oct-2024 20:07                7695
walk-1.13.0-r3.apk                                 14-May-2025 21:14             3107436
walk-doc-1.13.0-r3.apk                             14-May-2025 21:14                2288
walk-sor-0_git20190920-r1.apk                      25-Oct-2024 20:07                5284
walk-sor-doc-0_git20190920-r1.apk                  25-Oct-2024 20:07                7955
warpinator-1.8.8-r2.apk                            27-May-2025 08:43              220952
warpinator-lang-1.8.8-r2.apk                       27-May-2025 08:43              227565
warpinator-nemo-1.8.8-r2.apk                       27-May-2025 08:43                4263
watchbind-0.2.1-r1.apk                             25-Oct-2024 20:07             1166244
watchbind-doc-0.2.1-r1.apk                         25-Oct-2024 20:07                6723
watchdog-5.16-r2.apk                               25-Oct-2024 20:07               42722
watchdog-doc-5.16-r2.apk                           25-Oct-2024 20:07               14562
watchmate-0.5.3-r0.apk                             16-May-2025 17:22             2401080
watershot-0.2.0-r0.apk                             25-Oct-2024 20:07             1736824
way-displays-1.14.0-r0.apk                         22-Apr-2025 21:28               96760
way-displays-doc-1.14.0-r0.apk                     22-Apr-2025 21:28                4660
way-secure-0.2.0-r0.apk                            18-Apr-2025 22:10              213207
way-secure-doc-0.2.0-r0.apk                        18-Apr-2025 22:10                3105
waycheck-1.6.0-r0.apk                              23-Feb-2025 22:14               42565
wayfire-0.9.0-r0.apk                               27-Feb-2025 20:17             2489711
wayfire-dev-0.9.0-r0.apk                           27-Feb-2025 20:17              132971
wayfire-doc-0.9.0-r0.apk                           27-Feb-2025 20:17                3727
wayfire-plugins-extra-0.9.0-r0.apk                 27-Feb-2025 20:17              538646
waylevel-1.0.0-r1.apk                              25-Oct-2024 20:07              308972
waynergy-0.0.17-r0.apk                             25-Oct-2024 20:07               46806
wbg-1.2.0-r1.apk                                   14-May-2025 21:14               37045
wch-isp-0.4.1-r2.apk                               25-Oct-2024 20:07               10656
wch-isp-doc-0.4.1-r2.apk                           25-Oct-2024 20:07                2724
wch-isp-udev-rules-0.4.1-r2.apk                    25-Oct-2024 20:07                1689
wcm-0.9.0-r0.apk                                   27-Feb-2025 20:17              361495
webhookd-1.20.1-r4.apk                             14-May-2025 21:14             3358978
webhookd-doc-1.20.1-r4.apk                         14-May-2025 21:14                2318
webhookd-openrc-1.20.1-r4.apk                      14-May-2025 21:14                2309
webtunnel-0.0.2-r1.apk                             14-May-2025 21:14             3785016
weggli-0.2.4-r1.apk                                25-Oct-2024 20:07              845352
wf-config-0.9.0-r0.apk                             27-Feb-2025 20:17              105787
wf-config-dev-0.9.0-r0.apk                         27-Feb-2025 20:17               16648
wf-shell-0.9.0-r0.apk                              27-Feb-2025 20:17             6359001
wf-shell-dev-0.9.0-r0.apk                          27-Feb-2025 20:17                1690
wf-shell-doc-0.9.0-r0.apk                          27-Feb-2025 20:17                3158
wgcf-2.2.26-r0.apk                                 16-May-2025 14:52             4057193
wgcf-bash-completion-2.2.26-r0.apk                 16-May-2025 14:52                6243
wgcf-fish-completion-2.2.26-r0.apk                 16-May-2025 14:52                4422
wgcf-zsh-completion-2.2.26-r0.apk                  16-May-2025 14:52                4141
wiki-tui-0.8.2-r1.apk                              25-Oct-2024 20:07             1608653
wiki-tui-doc-0.8.2-r1.apk                          25-Oct-2024 20:07                4716
wiremapper-0.10.0-r0.apk                           25-Oct-2024 20:07               22171
wiringx-0_git20240317-r2.apk                       03-Mar-2025 16:08               49611
wiringx-dev-0_git20240317-r2.apk                   03-Mar-2025 16:08               68499
witchery-0.0.3-r2.apk                              25-Oct-2024 20:07                3275
wk-adblock-0.0.4-r5.apk                            25-Oct-2024 20:07              176532
wk-adblock-doc-0.0.4-r5.apk                        25-Oct-2024 20:07                2143
wl-clipboard-x11-5-r3.apk                          25-Oct-2024 20:07                3500
wl-clipboard-x11-doc-5-r3.apk                      25-Oct-2024 20:07                3010
wl-gammarelay-0.1.1-r14.apk                        14-May-2025 21:14             1679932
wl-ime-type-0.1.1-r0.apk                           13-Apr-2025 10:08                5306
wl-ime-type-doc-0.1.1-r0.apk                       13-Apr-2025 10:08                2249
wl-kbptr-0.3.0-r0.apk                              02-Jun-2025 19:29               22525
wl-kbptr-doc-0.3.0-r0.apk                          02-Jun-2025 19:29                4114
wl-screenrec-0.1.7-r0.apk                          13-Apr-2025 10:08              588436
wl-screenrec-bash-completion-0.1.7-r0.apk          13-Apr-2025 10:08                2477
wl-screenrec-doc-0.1.7-r0.apk                      13-Apr-2025 10:08                9734
wl-screenrec-fish-completion-0.1.7-r0.apk          13-Apr-2025 10:08                3300
wl-screenrec-zsh-completion-0.1.7-r0.apk           13-Apr-2025 10:08                3744
wlavu-0_git20201101-r1.apk                         25-Oct-2024 20:07               11638
wlclock-1.0.1-r0.apk                               25-Oct-2024 20:07               15353
wlclock-doc-1.0.1-r0.apk                           25-Oct-2024 20:07                3368
wlroots0.12-0.12.0-r1.apk                          25-Oct-2024 20:07              214019
wlroots0.12-dbg-0.12.0-r1.apk                      25-Oct-2024 20:07             1020843
wlroots0.12-dev-0.12.0-r1.apk                      25-Oct-2024 20:07               62149
wlroots0.15-0.15.1-r6.apk                          25-Oct-2024 20:07              270260
wlroots0.15-dbg-0.15.1-r6.apk                      25-Oct-2024 20:07             1269062
wlroots0.15-dev-0.15.1-r6.apk                      25-Oct-2024 20:07               72109
wlroots0.16-0.16.2-r0.apk                          28-Oct-2024 13:47              324098
wlroots0.16-dbg-0.16.2-r0.apk                      28-Oct-2024 13:47             1345434
wlroots0.16-dev-0.16.2-r0.apk                      28-Oct-2024 13:47               72346
wlroots0.17-0.17.4-r1.apk                          23-Nov-2024 09:00              358248
wlroots0.17-dbg-0.17.4-r1.apk                      23-Nov-2024 09:00             1528422
wlroots0.17-dev-0.17.4-r1.apk                      23-Nov-2024 09:00               79227
wmctrl-1.07-r1.apk                                 25-Oct-2024 20:07               14038
wmctrl-doc-1.07-r1.apk                             25-Oct-2024 20:07                5226
wmi-client-1.3.16-r5.apk                           25-Oct-2024 20:07             2415266
wok-3.0.0-r6.apk                                   25-Oct-2024 20:07              160360
wok-doc-3.0.0-r6.apk                               25-Oct-2024 20:07                3755
wok-lang-3.0.0-r6.apk                              25-Oct-2024 20:07               16425
wok-pyc-3.0.0-r6.apk                               25-Oct-2024 20:07              121525
wol-0.7.1-r3.apk                                   25-Oct-2024 20:07               25313
wol-doc-0.7.1-r3.apk                               25-Oct-2024 20:07                5655
wol-lang-0.7.1-r3.apk                              25-Oct-2024 20:07                8351
wolfssh-1.4.17-r0.apk                              25-Oct-2024 20:07              134056
wolfssh-dev-1.4.17-r0.apk                          25-Oct-2024 20:07              174877
wpa_actiond-1.4-r7.apk                             25-Oct-2024 20:07                9495
wpa_actiond-openrc-1.4-r7.apk                      25-Oct-2024 20:07                2271
wput-0.6.2-r4.apk                                  25-Oct-2024 20:07               39525
wput-doc-0.6.2-r4.apk                              25-Oct-2024 20:07                8445
wroomd-0.1.0-r0.apk                                25-Oct-2024 20:07             1058638
wroomd-openrc-0.1.0-r0.apk                         25-Oct-2024 20:07                1718
wshowkeys-1.0-r0.apk                               25-Oct-2024 20:07               12604
wsmancli-2.6.2-r0.apk                              25-Oct-2024 20:07               20402
wsmancli-doc-2.6.2-r0.apk                          25-Oct-2024 20:07                3784
wtfutil-0.43.0-r13.apk                             14-May-2025 21:14            19945928
x11docker-7.6.0-r1.apk                             25-Oct-2024 20:07              116153
x11docker-doc-7.6.0-r1.apk                         25-Oct-2024 20:07                9617
xa-2.4.1-r0.apk                                    25-Feb-2025 12:36               70772
xa-doc-2.4.1-r0.apk                                25-Feb-2025 12:36               17611
xcape-1.2-r1.apk                                   14-May-2025 21:14                6801
xcape-doc-1.2-r1.apk                               14-May-2025 21:14                3152
xcompmgr-1.1.9-r0.apk                              25-Oct-2024 20:07               15242
xcompmgr-doc-1.1.9-r0.apk                          25-Oct-2024 20:07                2673
xdg-ninja-0.2.0.2-r0.apk                           25-Oct-2024 20:07               72138
xed-3.8.2-r0.apk                                   05-Feb-2025 22:11             1111218
xed-dev-3.8.2-r0.apk                               05-Feb-2025 22:11               13923
xed-doc-3.8.2-r0.apk                               05-Feb-2025 22:11              994153
xed-lang-3.8.2-r0.apk                              05-Feb-2025 22:11             2177411
xed-python-3.8.2-r0.apk                            05-Feb-2025 22:11               24853
xendmail-0.4.3-r0.apk                              25-Oct-2024 20:07              876257
xendmail-doc-0.4.3-r0.apk                          25-Oct-2024 20:07                2564
xfce4-hamster-plugin-1.17-r0.apk                   25-Oct-2024 20:07               31375
xfce4-hamster-plugin-lang-1.17-r0.apk              25-Oct-2024 20:07                5188
xfce4-mixer-4.18.1-r2.apk                          25-Oct-2024 20:07               83912
xfce4-mixer-doc-4.18.1-r2.apk                      25-Oct-2024 20:07                2539
xfce4-mixer-lang-4.18.1-r2.apk                     25-Oct-2024 20:07               60149
xfce4-panel-profiles-1.0.14-r1.apk                 25-Oct-2024 20:07               57876
xfce4-panel-profiles-doc-1.0.14-r1.apk             25-Oct-2024 20:07               19883
xfce4-panel-profiles-lang-1.0.14-r1.apk            25-Oct-2024 20:07               45372
xfd-1.1.4-r0.apk                                   25-Oct-2024 20:07               13201
xfd-doc-1.1.4-r0.apk                               25-Oct-2024 20:07                5046
xgalaga-2.1.1.0-r1.apk                             25-Oct-2024 20:07              282208
xgalaga-doc-2.1.1.0-r1.apk                         25-Oct-2024 20:07                2610
xiccd-0.3.0_git20211219-r1.apk                     25-Oct-2024 20:07               15247
xiccd-doc-0.3.0_git20211219-r1.apk                 25-Oct-2024 20:07                3381
xisxwayland-2-r1.apk                               25-Oct-2024 20:07                3965
xisxwayland-doc-2-r1.apk                           25-Oct-2024 20:07                2002
xkb-switch-1.8.5-r1.apk                            14-May-2025 21:14               19242
xkb-switch-doc-1.8.5-r1.apk                        14-May-2025 21:14                2166
xlhtml-0.5.1-r0.apk                                25-Oct-2024 20:07               11001
xlhtml-doc-0.5.1-r0.apk                            25-Oct-2024 20:07                2543
xload-1.1.4-r0.apk                                 25-Oct-2024 20:07                6370
xload-doc-1.1.4-r0.apk                             25-Oct-2024 20:07                3282
xmag-1.0.8-r0.apk                                  25-Oct-2024 20:07               16180
xmag-doc-1.0.8-r0.apk                              25-Oct-2024 20:07                4855
xml2rfc-3.28.1-r0.apk                              18-Apr-2025 11:01              360230
xml2rfc-pyc-3.28.1-r0.apk                          18-Apr-2025 11:01              417258
xmp-4.2.0-r0.apk                                   25-Oct-2024 20:07               22292
xmp-doc-4.2.0-r0.apk                               25-Oct-2024 20:07                5424
xmpp-dns-0.2.4-r24.apk                             14-May-2025 21:14             1988910
xmppipe-0.16.0-r1.apk                              25-Oct-2024 20:07               15817
xone-src-0.3_git20230517-r0.apk                    25-Oct-2024 20:07               44459
xonsh-0.19.1-r0.apk                                05-Feb-2025 22:11              598632
xonsh-pyc-0.19.1-r0.apk                            05-Feb-2025 22:11             1064519
xosview-1.24-r0.apk                                25-Oct-2024 20:07              114299
xosview-doc-1.24-r0.apk                            25-Oct-2024 20:07               12799
xsane-0.999-r2.apk                                 25-Oct-2024 20:07             1580481
xsane-doc-0.999-r2.apk                             25-Oct-2024 20:07                4415
xsane-lang-0.999-r2.apk                            25-Oct-2024 20:07              450898
xsecurelock-1.9.0-r1.apk                           25-Oct-2024 20:07               63200
xsecurelock-doc-1.9.0-r1.apk                       25-Oct-2024 20:07               18179
xsoldier-1.8-r2.apk                                25-Oct-2024 20:07               70087
xsoldier-doc-1.8-r2.apk                            25-Oct-2024 20:07                2683
xtensor-0.25.0-r0.apk                              15-Jan-2025 02:50              273873
xtl-0.7.7-r0.apk                                   25-Oct-2024 20:07              113196
xva-img-1.5-r0.apk                                 25-Oct-2024 20:07               16792
xvidtune-1.0.4-r0.apk                              25-Oct-2024 20:07               16721
xvidtune-doc-1.0.4-r0.apk                          25-Oct-2024 20:07                4272
xvile-9.8z_p1-r0.apk                               19-Mar-2025 17:51              801909
xvkbd-4.1-r2.apk                                   25-Oct-2024 20:07              301070
xvkbd-doc-4.1-r2.apk                               25-Oct-2024 20:07               11032
xwayland-satellite-0.5.1-r0.apk                    02-Mar-2025 23:01              884752
yamkix-0.10.0-r1.apk                               25-Oct-2024 20:07               14571
yamkix-pyc-0.10.0-r1.apk                           25-Oct-2024 20:07               12056
yarn-berry-4.9.1-r0.apk                            15-Apr-2025 22:00             1064073
yaru-common-23.10.0-r2.apk                         13-Mar-2025 06:01                4580
yaru-icon-theme-23.10.0-r2.apk                     13-Mar-2025 06:02            36357912
yaru-icon-theme-bark-23.10.0-r2.apk                13-Mar-2025 06:02             1091111
yaru-icon-theme-blue-23.10.0-r2.apk                13-Mar-2025 06:02             1132049
yaru-icon-theme-magenta-23.10.0-r2.apk             13-Mar-2025 06:02             1107729
yaru-icon-theme-mate-23.10.0-r2.apk                13-Mar-2025 06:02             1301809
yaru-icon-theme-olive-23.10.0-r2.apk               13-Mar-2025 06:02             1104645
yaru-icon-theme-prussiangreen-23.10.0-r2.apk       13-Mar-2025 06:02             1118697
yaru-icon-theme-purple-23.10.0-r2.apk              13-Mar-2025 06:02             1154627
yaru-icon-theme-red-23.10.0-r2.apk                 13-Mar-2025 06:02             1161588
yaru-icon-theme-sage-23.10.0-r2.apk                13-Mar-2025 06:02             1140755
yaru-icon-theme-viridian-23.10.0-r2.apk            13-Mar-2025 06:02             1116089
yaru-schemas-23.10.0-r2.apk                        13-Mar-2025 06:02                1834
yaru-shell-23.10.0-r2.apk                          13-Mar-2025 06:02              753040
yaru-sounds-23.10.0-r2.apk                         13-Mar-2025 06:02              691826
yaru-theme-23.10.0-r2.apk                          13-Mar-2025 06:02              859640
yaru-theme-bark-23.10.0-r2.apk                     13-Mar-2025 06:02              781162
yaru-theme-blue-23.10.0-r2.apk                     13-Mar-2025 06:02              782879
yaru-theme-hdpi-23.10.0-r2.apk                     13-Mar-2025 06:02               74957
yaru-theme-magenta-23.10.0-r2.apk                  13-Mar-2025 06:02              779620
yaru-theme-mate-23.10.0-r2.apk                     13-Mar-2025 06:02              744797
yaru-theme-olive-23.10.0-r2.apk                    13-Mar-2025 06:02              777270
yaru-theme-prussiangreen-23.10.0-r2.apk            13-Mar-2025 06:02              777892
yaru-theme-purple-23.10.0-r2.apk                   13-Mar-2025 06:02              774586
yaru-theme-red-23.10.0-r2.apk                      13-Mar-2025 06:02              778623
yaru-theme-sage-23.10.0-r2.apk                     13-Mar-2025 06:02              780705
yaru-theme-viridian-23.10.0-r2.apk                 13-Mar-2025 06:02              777813
ydcv-0.7-r8.apk                                    25-Oct-2024 20:07               20504
ydcv-pyc-0.7-r8.apk                                25-Oct-2024 20:07               10829
ydcv-zsh-completion-0.7-r8.apk                     25-Oct-2024 20:07                2206
yices2-2.6.5-r0.apk                                02-Mar-2025 23:04             2042618
yices2-dev-2.6.5-r0.apk                            02-Mar-2025 23:04               42384
yices2-libs-2.6.5-r0.apk                           02-Mar-2025 23:04              748433
yodl-4.02.00-r1.apk                                25-Oct-2024 20:07              133741
yodl-doc-4.02.00-r1.apk                            25-Oct-2024 20:07               60403
yoshimi-2.3.3.3-r0.apk                             13-Mar-2025 22:33             6150091
yoshimi-doc-2.3.3.3-r0.apk                         13-Mar-2025 22:33             4740672
yosys-0.42-r0.apk                                  25-Oct-2024 20:07            18537652
yosys-dev-0.42-r0.apk                              25-Oct-2024 20:07              121543
youtube-tui-0.8.3-r0.apk                           14-May-2025 21:14             1612063
youtube-viewer-3.11.1-r2.apk                       14-May-2025 21:14               84736
youtube-viewer-doc-3.11.1-r2.apk                   14-May-2025 21:14               41440
youtube-viewer-gtk-3.11.1-r2.apk                   14-May-2025 21:14              174855
ytmdl-2024.08.15.1-r1.apk                          14-May-2025 21:14               51372
ytmdl-bash-completion-2024.08.15.1-r1.apk          14-May-2025 21:14                2325
ytmdl-pyc-2024.08.15.1-r1.apk                      14-May-2025 21:14               79979
ytmdl-zsh-completion-2024.08.15.1-r1.apk           14-May-2025 21:14                2163
yubikey-agent-0.1.6-r12.apk                        14-May-2025 21:14             1967859
z-1.12-r0.apk                                      25-Oct-2024 20:07                4694
z-doc-1.12-r0.apk                                  25-Oct-2024 20:07                3984
zafiro-icon-theme-1.3-r0.apk                       25-Oct-2024 20:07            20169315
zapret-0.0.0_git20220125-r1.apk                    25-Oct-2024 20:07               78954
zapret-doc-0.0.0_git20220125-r1.apk                25-Oct-2024 20:07              100825
zapret-openrc-0.0.0_git20220125-r1.apk             25-Oct-2024 20:07                2133
zarchive-0.1.2-r2.apk                              25-Oct-2024 20:07               15518
zarchive-dev-0.1.2-r2.apk                          25-Oct-2024 20:07                6899
zarchive-libs-0.1.2-r2.apk                         25-Oct-2024 20:07               22666
zfs-src-2.2.4-r1.apk                               09-Jan-2025 11:46            33987605
zfsbootmenu-2.3.0-r1.apk                           25-Oct-2024 20:07              131316
zfsbootmenu-doc-2.3.0-r1.apk                       25-Oct-2024 20:07               16171
zile-2.6.2-r1.apk                                  25-Oct-2024 20:07              112654
zile-doc-2.6.2-r1.apk                              25-Oct-2024 20:07               16185
zita-njbridge-0.4.8-r1.apk                         25-Oct-2024 20:07               26455
zita-njbridge-doc-0.4.8-r1.apk                     25-Oct-2024 20:07                5361
zita-resampler-1.11.2-r0.apk                       14-Apr-2025 21:16               17403
zita-resampler-dev-1.11.2-r0.apk                   14-Apr-2025 21:16                3334
zita-resampler-doc-1.11.2-r0.apk                   14-Apr-2025 21:16                4135
zizmor-1.7.0-r0.apk                                24-May-2025 22:57             3452883
zizmor-doc-1.7.0-r0.apk                            24-May-2025 22:57                2334
zlevis-1.3-r0.apk                                  14-Mar-2025 17:31                5353
zsh-fzf-tab-0_git20220331-r1.apk                   25-Oct-2024 20:07               16438
zsh-histdb-skim-0.8.6-r0.apk                       25-Oct-2024 20:07              771979
zsh-manydots-magic-0_git20230607-r1.apk            25-Oct-2024 20:07                2911
zutty-0.16-r0.apk                                  12-Jan-2025 21:01              152123
zutty-doc-0.16-r0.apk                              12-Jan-2025 21:01               68161
zvbi-0.2.44-r0.apk                                 11-Mar-2025 20:40              184336
zvbi-doc-0.2.44-r0.apk                             11-Mar-2025 20:40               21556
zycore-1.5.0-r0.apk                                25-Oct-2024 20:07               20206
zycore-dev-1.5.0-r0.apk                            25-Oct-2024 20:07               39314
zycore-doc-1.5.0-r0.apk                            25-Oct-2024 20:07              403568
zydis-4.1.0-r0.apk                                 25-Oct-2024 20:07              215800
zydis-dev-4.1.0-r0.apk                             25-Oct-2024 20:07               62281
zydis-doc-4.1.0-r0.apk                             25-Oct-2024 20:07             1652024